電子設(shè)計(jì)技術(shù)范文
時(shí)間:2023-03-26 22:37:02
導(dǎo)語:如何才能寫好一篇電子設(shè)計(jì)技術(shù),這就需要搜集整理更多的資料和文獻(xiàn),歡迎閱讀由公務(wù)員之家整理的十篇范文,供你借鑒。
篇1
1典型的設(shè)計(jì)
ASIC現(xiàn)在電子產(chǎn)品更新極快,復(fù)雜度也在不斷提高,有時(shí)候一個(gè)看起來比較簡單電子系統(tǒng)它的組成也許是數(shù)萬的中小規(guī)模集成電路,這樣就使電子系統(tǒng)經(jīng)常遭遇耗能高、可靠性低等問題的挑戰(zhàn)。ASIC芯片是對此問題進(jìn)行改善的一個(gè)有效途徑。它包涵了FPGA和CPLD器件,F(xiàn)PGA/CPLD是實(shí)現(xiàn)EDA的基礎(chǔ),也是EDA思想的最終表述手段,屬于高密度的可編程邏輯器件,一般像樣品的研制或者是批量不大的產(chǎn)品開發(fā)它們都能適用,并且極大的縮短設(shè)計(jì)周期,削減開銷,避免風(fēng)險(xiǎn),使產(chǎn)品能夠盡快上市。FPGA和CPLD的結(jié)構(gòu)有所不同,前者是標(biāo)準(zhǔn)的門陣列,而后者是與或陣列,但是二者的集成度及易用性都頗為相似,因而可以并駕齊驅(qū)。當(dāng)然二者也有各自的特點(diǎn),其差異表現(xiàn)在以下幾個(gè)方面:(1)顆粒粗細(xì)不同。與CPLD相比,F(xiàn)PGA的顆粒相對細(xì)一些,它的一個(gè)顆粒只是邏輯宏單元,而CPLD的則是邏輯宏塊。(2)適用結(jié)構(gòu)不同。FPGA更適合應(yīng)用于觸發(fā)器相對豐富的結(jié)構(gòu)之中,CPLD比較適合應(yīng)用于觸發(fā)器有限但是積項(xiàng)特別豐富的結(jié)構(gòu)之中。(3)編程方式不同。FPGA在邏輯門下就可以實(shí)現(xiàn)編程,多采用改變內(nèi)部布線的方式,具備很強(qiáng)的靈活性。GPLD只有在邏輯快下才可實(shí)現(xiàn)變成,多采用修改已經(jīng)固定了的內(nèi)連電路的邏輯功能的方式,速度更快。(4)功能消耗不同。FPGA消耗小,CPLD消耗比較而言大一些。
2EDA技術(shù)在電子設(shè)計(jì)中的應(yīng)用
EDA技術(shù)屬于一種層次比較高的電子設(shè)計(jì)方式,也可以稱作系統(tǒng)級設(shè)計(jì)方法,它以概念來驅(qū)動,電子設(shè)計(jì)工作者并不需要利用門級原理圖,只是針對確定了的設(shè)計(jì)目標(biāo)就可以實(shí)現(xiàn)對電路的描述,這樣一來,就少了電路細(xì)節(jié)的約束和限制,使設(shè)計(jì)可以更多的放開從而更具創(chuàng)造性,待設(shè)計(jì)人員有了概念構(gòu)思之后,再講高層次描述輸入到計(jì)算機(jī)中去,EDA系統(tǒng)在規(guī)則驅(qū)動下就會自動完成整個(gè)電子的設(shè)計(jì)。如此,新的概念就可以在段時(shí)間中就成為產(chǎn)品,基于EDA技術(shù)的電子設(shè)計(jì)流程如圖1所示:可以看到電子EDA技術(shù)設(shè)計(jì)的工作流程包括:系統(tǒng)劃分、VHDL代碼或圖形的輸入、代碼級功能仿真、送配前時(shí)序仿真、編程下載、ASIC實(shí)現(xiàn)。電子設(shè)計(jì)的第一步是借助文本或者是圖形編輯工具將設(shè)計(jì)呈現(xiàn)出來,即實(shí)現(xiàn)設(shè)計(jì)描述。第二步是借助編譯器實(shí)施錯(cuò)排編譯,也即HDL程序輸入,至于選擇那種輸入形式并不一定,一般設(shè)計(jì)的原理圖比較直觀,所以不難掌握,也不難被接受,并且編輯器中可供利用的單元器件非常多,這時(shí)候就給設(shè)計(jì)者提供了根據(jù)自己需要選擇表達(dá)的方式的機(jī)會,倘使是編譯文件是VHDL文件,那么在進(jìn)行綜合之前還要進(jìn)行的一項(xiàng)重要工作就是仿真,就是把設(shè)計(jì)原程序送入VHDL仿真器之中,這個(gè)仿真過程可以有助于及時(shí)發(fā)現(xiàn)結(jié)構(gòu)設(shè)計(jì)上可能出現(xiàn)的錯(cuò)誤。第三步就是綜合,溝通軟件和硬件設(shè)計(jì),待綜合后,就可以生成網(wǎng)表,針對網(wǎng)表,可以實(shí)施功能仿真,從而保證設(shè)計(jì)描述嚴(yán)格遵循并符合設(shè)計(jì)意圖,仿真功能實(shí)際上只是從邏輯功能上對電子設(shè)計(jì)進(jìn)行檢測,并不涉及器件的一些硬件方面的特性,例如典型的有延遲特性,一些不甚嚴(yán)格的設(shè)計(jì),這一層仿真通??梢允∪?。最后一步是編程下載,通過仿真確定設(shè)計(jì)正確無誤后,利用FPGA/CPLD來完成邏輯映射操作,適配,最后利用JTAG編程器或者其它下載設(shè)計(jì)項(xiàng)目到目標(biāo)器件PFGA之中,完成系統(tǒng)級設(shè)計(jì)。
3基于EDA技術(shù)的電子設(shè)計(jì)應(yīng)注意的事項(xiàng)
篇2
關(guān)鍵詞:電子設(shè)計(jì);EDA技術(shù);應(yīng)用;注意事項(xiàng)
引言
EDA技術(shù)在電子設(shè)計(jì)中是基于網(wǎng)絡(luò)、計(jì)算機(jī)和眾多科技中的一種新型技術(shù)。目前,在很多西方發(fā)達(dá)國家中已經(jīng)將EDA這種先進(jìn)的技術(shù)廣泛的應(yīng)用于電子設(shè)計(jì)中,從在效率、速度和質(zhì)量上都取得了明顯的成效。EDA技術(shù)已經(jīng)全面的引領(lǐng)了電子設(shè)計(jì)發(fā)展的方向,所以,想要有效的利用EDA技術(shù)發(fā)展電子設(shè)計(jì)就要全面的去認(rèn)識它,正確的以EDA技術(shù)的含義為基礎(chǔ)了解它的優(yōu)勢,并熟練的掌握EDA技術(shù)的設(shè)計(jì)應(yīng)用流程。注意EDA技術(shù)在電子設(shè)計(jì)中實(shí)際操作中會出現(xiàn)的問題從而更好的實(shí)現(xiàn)它的技術(shù)價(jià)值,這樣才能在電子設(shè)計(jì)的發(fā)展中追趕發(fā)達(dá)國家的腳步,才能全面的提升我國電子設(shè)計(jì)行業(yè)的發(fā)展水平。
1.EDA技術(shù)概述
EDA(ElectricDesignAutomation)又被稱為電子設(shè)計(jì)自動化[1],EDA技術(shù)作為電子技術(shù)和仿真模擬工作的技術(shù)基礎(chǔ)引領(lǐng)著電子技術(shù)發(fā)展的潮流。EDA技術(shù)在電子行業(yè)中的應(yīng)用為電子設(shè)計(jì)的工作提供了很大的技術(shù)依靠。當(dāng)前,EDA技術(shù)的應(yīng)用領(lǐng)域正不斷地得到擴(kuò)展,許多電子設(shè)計(jì)行業(yè)發(fā)達(dá)的國家對EDA技術(shù)的應(yīng)用越來越廣泛,我國也應(yīng)該在電子行業(yè)中以EDA技術(shù)為發(fā)展的突破口提高電子設(shè)計(jì)的質(zhì)量和水平,可以讓電子設(shè)計(jì)工作在更加系統(tǒng)和科學(xué)技術(shù)的支撐下實(shí)現(xiàn)更深層次和更廣泛的發(fā)展應(yīng)用。EDA技術(shù)在電子設(shè)計(jì)中是通過可編程邏輯器件PLD(ProgrammableLogicDevice)技術(shù)在數(shù)字系統(tǒng)上的應(yīng)用發(fā)展而來的。EDA技術(shù)通過計(jì)算機(jī)在PLD技術(shù)的基礎(chǔ)上利用硬件描述語言HDL(HardwareDescripitionLanguage)來實(shí)現(xiàn)目標(biāo)邏輯[2]。設(shè)計(jì)者可以在EDA技術(shù)的支持下完成軟件描述硬件的功能,然后通過現(xiàn)場可編程門陣列FPGA(FieldProgrammableGateArray)或者是復(fù)雜可編程邏輯器件CPLD(ComplexProgrammableLogicDevice)來完成所要設(shè)計(jì)得結(jié)果。這個(gè)技術(shù)的應(yīng)用為電子設(shè)計(jì)工作帶來了極大的靈活性和便利性。
2.EDA技術(shù)的基本特征及優(yōu)勢
2.1EDA技術(shù)的基本特征
EDA技術(shù)的設(shè)計(jì)流程與傳統(tǒng)的電子設(shè)計(jì)流程恰好相反,它是自上而下的設(shè)計(jì)流程[3]。在傳統(tǒng)的電子設(shè)計(jì)中,它是先確定了集成電路的芯片后再根據(jù)各個(gè)模塊的功能進(jìn)行局部的設(shè)計(jì),然后再完成整個(gè)的系統(tǒng)設(shè)計(jì)。這種電子設(shè)計(jì)的方法存在著很多的問題和缺陷,需要的器件太多,容易出現(xiàn)故障,對元件的消耗很大,設(shè)計(jì)的效率很低。而EDA技術(shù)在電子設(shè)計(jì)中采用相反的電子設(shè)計(jì)流程可以有效的克服傳統(tǒng)的電子設(shè)計(jì)中存在的問題和缺陷。它在設(shè)計(jì)之前就從電子設(shè)計(jì)的整體角度考慮將系統(tǒng)中的各部分結(jié)構(gòu)規(guī)劃好,在對方的框圖進(jìn)行劃分時(shí)對相關(guān)的工作進(jìn)行仿真和糾錯(cuò),利用HDL進(jìn)行描述高層次邏輯并結(jié)合綜合優(yōu)化的方法來完成所有的工作,在EDA技術(shù)的幫助下實(shí)現(xiàn)對任意一項(xiàng)硬件功能進(jìn)行系統(tǒng)描述。最后通過FPGA和CPLD來實(shí)現(xiàn)電子設(shè)計(jì)的結(jié)果。這樣的電子技術(shù)的應(yīng)用有效的避免了傳統(tǒng)電子設(shè)計(jì)中會出現(xiàn)的問題,降低了實(shí)際操作中可能出現(xiàn)的故障幾率,極大的提高了設(shè)計(jì)的效率。
2.2EDA技術(shù)的優(yōu)勢
1)應(yīng)用廣泛EDA技術(shù)具有應(yīng)用廣泛的優(yōu)點(diǎn)?,F(xiàn)階段,在電子設(shè)計(jì)中比較常用的編程方式酒紅色無線編程和在線編程,而EDA技術(shù)在電子設(shè)計(jì)中可以很好的適應(yīng)并實(shí)現(xiàn)無障礙編程,同時(shí)讓編程更加具有保密性的特征引領(lǐng)著電子設(shè)計(jì)的發(fā)展潮流。2)可靠性高EDA技術(shù)具有可靠性高的優(yōu)點(diǎn)。傳統(tǒng)的電子設(shè)計(jì)中具有復(fù)位障礙和跑飛缺陷,EDA技術(shù)不僅可以很好的克服這個(gè)缺陷還可以將電子產(chǎn)品中的各系統(tǒng)通過集成和壓縮在一個(gè)芯片中,在電子設(shè)計(jì)中便于被管理并有效的控制了風(fēng)險(xiǎn),提高了電子設(shè)計(jì)的可靠性。3)普適性好EDA技術(shù)具有普適性好的優(yōu)點(diǎn)。在電子設(shè)計(jì)的升級和創(chuàng)新環(huán)節(jié)中,EDA技術(shù)可以憑借其容量大、速度快和效率高的特征被得到有效的應(yīng)用[4],這對于通信類的電子設(shè)計(jì)具有很大的優(yōu)勢。4)效率高EDA技術(shù)具有效率高的優(yōu)點(diǎn)。EDA技術(shù)在多種模塊的功能化下可以實(shí)現(xiàn)多任務(wù)的并行,大大的提高了電子設(shè)計(jì)中的速度和效率。超越了傳統(tǒng)的電子設(shè)計(jì),滿足了電子設(shè)計(jì)對信息化和市場化適應(yīng)的需求。
3.EDA技術(shù)在電子設(shè)計(jì)中的流程
EDA技術(shù)在電子設(shè)計(jì)中的流程(如圖1EDA電子設(shè)計(jì)流程圖)首先是將設(shè)計(jì)的意圖通過EDA工具的文本或圖形編輯器用文本方式或圖形方式表達(dá)出來。在通過設(shè)計(jì)意圖完成設(shè)計(jì)的描述之后就可以進(jìn)行編譯,編譯主要是轉(zhuǎn)換格式和對一般性的語法及電路進(jìn)行排錯(cuò)。為接下來的邏輯綜合和優(yōu)化做準(zhǔn)備。邏輯綜合是綜合器針對于FPGA/CPLD供應(yīng)商的具體產(chǎn)品對源文件進(jìn)行綜合,綜合后得結(jié)果具有硬件可實(shí)現(xiàn)性,這也是硬件電路從軟件轉(zhuǎn)化過來的關(guān)鍵一步。綜合的同時(shí),還將設(shè)計(jì)中冗余邏輯進(jìn)行去除優(yōu)化,從而節(jié)省了資源,提高了效率。一般是對資源優(yōu)先或是速度優(yōu)先兩種方式進(jìn)行優(yōu)化。綜合優(yōu)化就是對軟件的設(shè)計(jì)和硬件的可實(shí)現(xiàn)性進(jìn)行結(jié)合,也就是對具體型號的芯片進(jìn)行布局、布線和適配。之后進(jìn)行功能的仿真和時(shí)序的仿真,在這個(gè)階段如果仿真沒有發(fā)現(xiàn)問題就可以通過編程器或者是下載電纜將適配器產(chǎn)生的配置文件下載到目標(biāo)芯片中。然后針對FPGA/CPLD直接應(yīng)用于系統(tǒng)中通過硬件測試進(jìn)行檢測[5]。4.EDA技術(shù)在電子設(shè)計(jì)中的應(yīng)用在電力的系統(tǒng)設(shè)計(jì)中,電力線主要是通過采用濾波器的低通功能進(jìn)行載波通訊,它是通過濾波器將高頻的信息過濾掉,使得工頻電流可以接地,阻抗可以變換。由于常見的數(shù)字濾波器在實(shí)際操作中有著計(jì)算速度低等缺陷常常使用模擬濾波器來滿足實(shí)際的操作需求,但是模擬的濾波器在應(yīng)用中還是有著很多的問題。比如。在實(shí)際的操作中,模擬的濾波器很難調(diào)試、系統(tǒng)的級數(shù)低、參數(shù)漂移等。所以為了解決這些問題,就會在電子設(shè)計(jì)中采用EDA技術(shù)。在EDA技術(shù)中的A/D轉(zhuǎn)換和濾波器可以很好的解決傳統(tǒng)的濾波器存在的問題。如圖2所示就是在電子設(shè)計(jì)中應(yīng)用EDA技術(shù)進(jìn)行設(shè)計(jì)的被遞歸型濾波器的模擬圖[6]。在現(xiàn)場可編程門列陣的內(nèi)容主要由六個(gè)部分組成,是以時(shí)序控制器為核心的非遞歸型濾波器的設(shè)計(jì),在具體的工作過程中是由時(shí)序控制器為其余的五個(gè)模塊進(jìn)行控制信號的輸送。具體工作流程如下:1)A/D轉(zhuǎn)換器將轉(zhuǎn)換結(jié)束的信號EOC上升沿發(fā)送給時(shí)在這個(gè)階段如果仿真沒有發(fā)現(xiàn)問題就可以通過編程器或者是下載電纜將適配器產(chǎn)生的配置文件下載到目標(biāo)芯片中。然后針對FPGA/CPLD直接應(yīng)用于系統(tǒng)中通過硬件測試進(jìn)行檢測[5]。
4.EDA技術(shù)在電子設(shè)計(jì)中的應(yīng)用
在電力的系統(tǒng)設(shè)計(jì)中,電力線主要是通過采用濾波器的低通功能進(jìn)行載波通訊,它是通過濾波器將高頻的信息過濾掉,使得工頻電流可以接地,阻抗可以變換。由于常見的數(shù)字濾波器在實(shí)際操作中有著計(jì)算速度低等缺陷常常使用模擬濾波器來滿足實(shí)際的操作需求,但是模擬的濾波器在應(yīng)用中還是有著很多的問題。比如。在實(shí)際的操作中,模擬的濾波器很難調(diào)試、系統(tǒng)的級數(shù)低、參數(shù)漂移等。所以為了解決這些問題,就會在電子設(shè)計(jì)中采用EDA技術(shù)。在EDA技術(shù)中的A/D轉(zhuǎn)換和濾波器可以很好的解決傳統(tǒng)的濾波器存在的問題。如圖2所示就是在電子設(shè)計(jì)中應(yīng)用EDA技術(shù)進(jìn)行設(shè)計(jì)的被遞歸型濾波器的模擬圖[6]。在現(xiàn)場可編程門列陣的內(nèi)容主要由六個(gè)部分組成,是以時(shí)序控制器為核心的非遞歸型濾波器的設(shè)計(jì),在具體的工作過程中是由時(shí)序控制器為其余的五個(gè)模塊進(jìn)行控制信號的輸送。具體工作流程如下:1)A/D轉(zhuǎn)換器將轉(zhuǎn)換結(jié)束的信號EOC上升沿發(fā)送給時(shí)序控制器,然后時(shí)序控制器接收到信號之后向并串轉(zhuǎn)換、串行延時(shí)、系數(shù)查表、位移相加、鎖存輸出五個(gè)模塊發(fā)送并串轉(zhuǎn)換信號、串行延時(shí)信號、系數(shù)查表信號、位移相加信號、鎖存輸出信號。2)并串轉(zhuǎn)換模塊、串行延時(shí)模塊、系數(shù)查表模塊、位移相加模塊、鎖存輸出模塊這五個(gè)模塊在按照到時(shí)序控制器發(fā)送來的信號依次進(jìn)行運(yùn)行[7]。對各個(gè)模塊的描述語言采用的是VHDL語言,系數(shù)查表模塊在設(shè)計(jì)時(shí)元件使用的是ROM元件,把查找的內(nèi)容寫入MIF文件中,采樣的頻率要比截止的頻率小一級,芯片結(jié)構(gòu)在通過對算法的改進(jìn)過程中得到最佳的匹配[8]。從而運(yùn)算的效率被提高,5.結(jié)論在我國的經(jīng)濟(jì)飛速發(fā)展的帶動下我國的科學(xué)技術(shù)也在不斷的進(jìn)步,在科學(xué)技術(shù)的支持下電子產(chǎn)品的設(shè)計(jì)水平也得到了大幅度的提升?,F(xiàn)如今EDA技術(shù)憑借著自身的優(yōu)勢儼然已經(jīng)成為電子設(shè)計(jì)過程中的核心技術(shù),成為電子產(chǎn)品研發(fā)應(yīng)用的源動力。隨著科學(xué)技術(shù)的不斷變革,EDA技術(shù)也將電子設(shè)計(jì)的水平推向新的高度。電子設(shè)計(jì)的工程師要熟練的運(yùn)用和掌握EDA技術(shù),將EDA技術(shù)在電子設(shè)計(jì)中的應(yīng)用優(yōu)勢得以凸顯出來,通過實(shí)際的實(shí)踐操作中不斷的完善和創(chuàng)新,有效的解決傳統(tǒng)電子設(shè)計(jì)中的弊端,減少實(shí)際中的故障幾率,使得設(shè)計(jì)效率大幅度的提高,讓EDA技術(shù)得到更好的推廣前景,提高電子產(chǎn)品的核心競爭力,進(jìn)一步促進(jìn)電子產(chǎn)業(yè)的發(fā)展。
參考文獻(xiàn)
[1]梁莉.EDA技術(shù)在現(xiàn)代電子設(shè)計(jì)中的應(yīng)用研究[J].科技創(chuàng)新與應(yīng)用,2016,06(35):66-67.
[2]黃育楷.EDA技術(shù)在電子技術(shù)設(shè)計(jì)中的應(yīng)用[J].數(shù)字技術(shù)與應(yīng)用,2016,03(08):255-256.
篇3
【關(guān)鍵詞】EDA技術(shù);QuartusⅡ;電子設(shè)計(jì);VHDL
1.引言
集成電路設(shè)計(jì)不斷向超大規(guī)模、低功率、超高速方向發(fā)展,其核心技術(shù)是基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)技術(shù)。EDA(Electronic Design Automation,電子設(shè)計(jì)自動化)技術(shù),以集成電路設(shè)計(jì)為目標(biāo),以可編程邏輯器件(如CPLD、FPGA)為載體,以硬件描述語言(VHDL、VerilogHDL)為設(shè)計(jì)語言,以EDA軟件工具為開發(fā)環(huán)境,利用強(qiáng)大計(jì)算機(jī)技術(shù)來輔助人們自動完成邏輯化和仿真測試,直到既定的電子產(chǎn)品的設(shè)計(jì)完成。其融合了,大規(guī)模集成電路制造技術(shù)、計(jì)算機(jī)技術(shù)、智能化技術(shù),可以進(jìn)行電子電路設(shè)計(jì)、仿真,PCB設(shè)計(jì),CPLD/FPGA設(shè)計(jì)等。簡言之,EDA技術(shù)可概括為在開發(fā)軟件(本文用QuartusⅡ)環(huán)境里,用硬件描述語言對電路進(jìn)行描述,然后經(jīng)過編譯、仿真、修改環(huán)節(jié)后,最終下載到設(shè)計(jì)載體(CPLD、FPGA)中,從而完成電路設(shè)計(jì)的新技術(shù)。
以EDA技術(shù)為核心的現(xiàn)代電子設(shè)計(jì)方法和傳統(tǒng)的電子設(shè)計(jì)方法相比有很大的優(yōu)點(diǎn),兩種設(shè)計(jì)方法的流程如下圖:
圖1 傳統(tǒng)電子設(shè)計(jì)流程圖
圖2 基于EDA的現(xiàn)代電子設(shè)計(jì)流程圖
比較兩種設(shè)計(jì)方法,基于EDA技術(shù)的現(xiàn)在電子設(shè)計(jì)方法采用自上而下的設(shè)計(jì)方法,系統(tǒng)設(shè)計(jì)的早期便可進(jìn)行逐層仿真和修改,借助計(jì)算機(jī)平臺,降低了電路設(shè)計(jì)和測試的難度,極大程度地縮短了電子產(chǎn)品的設(shè)計(jì)周期、節(jié)約了電子產(chǎn)品的設(shè)計(jì)成本。DEA技術(shù)極大的促進(jìn)了現(xiàn)代電子技術(shù)的發(fā)展,已成為現(xiàn)代電子技術(shù)的核心。
2.QuartusⅡ軟件開發(fā)環(huán)境介紹
QuartusⅡ軟件是Alter公司開發(fā)的綜合性EDA工具軟件,提供了強(qiáng)大的電子設(shè)計(jì)功能,充分發(fā)揮了FPGA、CPLD和結(jié)構(gòu)化ASIC的效率和性能,包含自有的綜合器及仿真器,支持原理圖、VHDL、VerilogHDL等多種設(shè)計(jì)輸入,把設(shè)計(jì)、布局布線和驗(yàn)證功能以及第三方EDA工具無縫的集成在一起。QuartusⅡ與Alter公司的上一代設(shè)計(jì)工具M(jìn)AX+plusⅡ具有一定的相似性,和繼承性。使熟悉MAX+plusⅡ開發(fā)環(huán)境的設(shè)計(jì)人員可以快速熟練應(yīng)用。相比之下,QuartusⅡ軟件功能更為強(qiáng)大、設(shè)計(jì)電路更為便捷,支持的器件更多。增強(qiáng)了自動化程度,縮短了編譯時(shí)間,提升了調(diào)試效率。從而縮短了電子產(chǎn)品的設(shè)計(jì)周期。利用QuartusⅡ軟件進(jìn)行電子電路設(shè)計(jì)流程如圖3所示。
圖3 QuartusⅡ設(shè)計(jì)流程圖
3.在QuartusⅡ環(huán)境下的EDA方法設(shè)計(jì)實(shí)例
下面本文在QuartusⅡ環(huán)境下,以下降沿D觸發(fā)器的設(shè)計(jì)為例來說明基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)方法(本文以QuartusⅡ9.0為例)。
3.1 在計(jì)算機(jī)上安裝QuartusⅡ9.0版本軟件
QuartusⅡ9.0對計(jì)算機(jī)硬件配置要求不高,現(xiàn)階段的主流配置完全可以滿足其要求。QuartusⅡ9.0安裝過程很簡單,按照提示操作即可。
3.2 D觸發(fā)器功能分析
從D觸發(fā)器真值表可以看出,當(dāng)時(shí)鐘信號clk不論是高電平還是低電平,其輸出q的狀態(tài)都保持不變,當(dāng)時(shí)鐘信號clk由高電平變?yōu)榈碗娖綍r(shí),輸出信號q和輸入信號d的狀態(tài)相同。
表1 D觸發(fā)器真值表
輸入d 時(shí)鐘clk 輸出q
× 0 不變
× 1 不變
0 下降沿 0
1 下降沿 1
3.3 D觸發(fā)器的VHDL描述設(shè)計(jì)
下面給出D觸發(fā)器的VHDL描述:
library ieee;
use ieee.std_logic_1164.all;
entity dff1 is
port(d,clk:in std_logic;
q:out std_logic);
end dff1;
architecture bhv of dff1 is
begin
process(clk)
begin
if clk='1' then
q<=d;
end if;
end process;
end bhv;
上面程序在QuartusⅡ9.0環(huán)境下,經(jīng)保存后進(jìn)行編譯,然后可進(jìn)行波形仿真。
3.4 設(shè)計(jì)仿真
VHDL描述程序編譯后,建立矢量波形文件,之后可以進(jìn)行波形仿真,得到如下波形仿真圖(如圖4所示):
圖4 D觸發(fā)器仿真波形圖
此仿真波形符合D觸發(fā)器真值表,說明電路設(shè)計(jì)正確。如果波形仿真不符合真值表,說明電路設(shè)計(jì)有問題,此時(shí)可以回到3.3步驟修改VHDL描述程序,直至仿真結(jié)果正確為止。
波形仿真正確后,可得出相應(yīng)的邏輯電路圖,D觸發(fā)器電路圖(如圖5所示)如下:
圖5 D觸發(fā)器邏輯電路圖
3.5 配置下載測試
整個(gè)電路設(shè)計(jì)、編譯仿真無誤后,按照FPGA開發(fā)板說明書進(jìn)行引腳鎖定,重新進(jìn)行編譯后,然后通過下載電纜線,將產(chǎn)生的sof文件下載至FPGA中,對電路進(jìn)行測試、驗(yàn)證,完成電路的最終設(shè)計(jì)。
4.結(jié)束語
本文以QuartusⅡ開發(fā)環(huán)境下的實(shí)際電路設(shè)計(jì)為例,介紹了基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)方法。通過設(shè)計(jì)過程可知,DEA技術(shù)在現(xiàn)代電子電路設(shè)計(jì)中的重要性。在電子技術(shù)飛速發(fā)展的信息時(shí)代,EDA技術(shù)也在不斷發(fā)展。電子產(chǎn)品設(shè)計(jì)者有必要熟練掌握硬件描述語言、可編程邏輯器件以及各種主流軟件開發(fā)環(huán)境,這樣才可以在最短的時(shí)間內(nèi)完成高質(zhì)量的電子產(chǎn)品設(shè)計(jì)任務(wù)。
參考文獻(xiàn)
[1]閻石.數(shù)字電子技術(shù)基礎(chǔ)[M].北京:高等教育出版社(第五版),2006.
[2]劉江海.EDA技術(shù)[M].武漢:華中科技大學(xué)出版社,2009.
篇4
論文摘要:電子線路CAD技術(shù)在高職的電子信息工程技術(shù)專業(yè)中是非常重要的一門課程,在電子設(shè)計(jì)以及畢業(yè)設(shè)計(jì)中都講用到該技術(shù)。電子線路CAD技術(shù)主要是用來繪制電路圖,并在計(jì)算機(jī)上利用該繪圖軟件對電路進(jìn)行排列,從而讓設(shè)計(jì)出的電路更加的美觀。本文主要是針對電子線路CAD技術(shù)在高職電子設(shè)計(jì)中的應(yīng)用進(jìn)行研究。
對于高職學(xué)生而言,要學(xué)習(xí)的不僅僅是專業(yè)知識,動手能力是在學(xué)好專業(yè)知識的基礎(chǔ)上更高的一個(gè)層次,也是他們必須擁有的一種能力。在高職院校中,電子信息工程技術(shù)專業(yè)的學(xué)生經(jīng)常會遇到電子設(shè)計(jì)等問題,因此,在進(jìn)行電子設(shè)計(jì)的時(shí)候需要用到的很多專業(yè)知識他們是必須掌握的。而電子線路CAD技術(shù)在電路板的制作方面的應(yīng)用就必不可少了。下面我們將對電子線路CAD技術(shù)在電子設(shè)計(jì)中的應(yīng)用進(jìn)行研究與探索,說明電子線路CAD技術(shù)與電子設(shè)計(jì)的關(guān)系以及在電子設(shè)計(jì)中發(fā)揮的作用。
1、電子線路CAD技術(shù)與電子設(shè)計(jì)的關(guān)系
隨著電子技術(shù)的廣泛發(fā)展以及新型元器件和集成電路的廣泛應(yīng)用,電路在設(shè)計(jì)方面也越來越復(fù)雜與集成化,因此,對電路的要求也越來越精密。而為了達(dá)到電路在復(fù)雜與集成化方面的要求,在制作電路的時(shí)候單靠手工的操作已經(jīng)不能完成設(shè)計(jì)的目的了。所以,就產(chǎn)生了現(xiàn)在我們所用到的電子線路CAD技術(shù)。我們在電子設(shè)計(jì)過程中利用它就能達(dá)到電路所要求的精密度。
2、電子線路CAD技術(shù)在電子設(shè)計(jì)中的應(yīng)用
電子線路CAD技術(shù)是使用當(dāng)前被廣泛應(yīng)用的計(jì)算機(jī)輔助繪圖和設(shè)計(jì)軟件,然后結(jié)合學(xué)過的專業(yè)知識進(jìn)行設(shè)計(jì),以加快設(shè)計(jì)進(jìn)程、縮短設(shè)計(jì)周期、提高設(shè)計(jì)質(zhì)量等。電子線路CAD技術(shù)在電子設(shè)計(jì)中的應(yīng)用主要是一下幾個(gè)方面:
2.1 繪制電路圖
在進(jìn)行電子設(shè)計(jì)的過程中,要實(shí)現(xiàn)電路的功能最重要的就是編程,但是只有編程并不能完善整個(gè)設(shè)計(jì),還需要有一個(gè)完善的電路來承載這個(gè)程序,讓它實(shí)現(xiàn)它本該實(shí)現(xiàn)的功能。在電子設(shè)計(jì)中,我們一般運(yùn)用的軟件是PROTEL,繪制電路原理圖的時(shí)候就會用到PROTEL的原理圖輸入功能。該繪圖軟件在電路原理圖輸入方面有著非常豐富的電子器件庫,能夠?yàn)槲覀冸娮釉O(shè)計(jì)的繪圖提供所需的各種電子器件。利用該軟件進(jìn)行電子設(shè)計(jì)確保了電路原理圖的精密度,并且繪制過程也更為方便。比如:我們在畫好一個(gè)元器件后,覺得它應(yīng)該放在其他的位置,則只要將它拖動到我們想要放置的位置即可。
2.2 計(jì)算機(jī)仿真
電子線路CAD技術(shù)在電子設(shè)計(jì)的應(yīng)用過程中還具備運(yùn)用其仿真的功能,檢查電路的功能是否達(dá)到了我們所預(yù)期的功能,并且能夠?qū)σ恍?shù)據(jù)進(jìn)行仿真,可進(jìn)一步對電路進(jìn)行分析。對于PROTEL軟件而言,在它的MULTISIM中有很多種仿真功能,這些仿真功能可以進(jìn)行直流工作電的分析、瞬態(tài)分析、溫度掃描分析、參數(shù)掃描分析、靈敏度分析、零極點(diǎn)分析、傅里葉變換分析、噪聲和失真度分析、最壞情況分析以及蒙特卡羅分析等。在進(jìn)行仿真的時(shí)候,我們首先要進(jìn)行一個(gè)功能仿真,大致了解一下該電路的功能是否達(dá)到了預(yù)期的功能,然后進(jìn)行數(shù)據(jù)仿真,對該電路進(jìn)行具體的分析,并改正錯(cuò)誤的地方。在進(jìn)行仿真過后,分析結(jié)果一般都是以數(shù)值或波形的方式顯示出來。
2.3 PCB板的設(shè)計(jì)
PCB板是PROTEL軟件將電路原理圖進(jìn)行布線后的一種電路板。在進(jìn)行PCB板的設(shè)計(jì)之前,首先要將電路原理圖導(dǎo)入,而導(dǎo)入的電路原理圖必須是通過仿真的,而且電路原理圖中各元器件的電器特性必須與PCB板相同元器件的電器特性相同。最后,設(shè)計(jì)者就可以利用PCB板自動布線以及手動布線的功能對其進(jìn)行布線。采用該軟件對電路圖進(jìn)行布線,設(shè)計(jì)者可以先采用自動布線功能對電路進(jìn)行大致的布線,然后用手動布線功能對其進(jìn)行美化。這樣的過程能夠讓電路的布線更加美觀。
2.4 三維視圖
在將PCB板設(shè)計(jì)好之后,在這樣的繪圖軟件上都有三維視圖的菜單,只要點(diǎn)擊三維視圖的菜單就可以觀看設(shè)計(jì)電路板的三維視圖。
3、讓學(xué)生更好地掌握電子線路CAD技術(shù)
如上所述,掌握了電子線路CAD技術(shù)對于學(xué)生而言,可以更好地進(jìn)行電子線路方面的設(shè)計(jì)工作。但在學(xué)習(xí)這一項(xiàng)技術(shù)的過程中,我們往往會發(fā)現(xiàn)學(xué)生心有余而力不足。部分教材多以PROTEL軟件為藍(lán)本,介紹軟件的功能、菜單等,輔以一些應(yīng)用的例子。學(xué)生學(xué)習(xí)后多呈現(xiàn)一種臨時(shí)性的記憶,即在課程中會用,考核結(jié)束后在不長的時(shí)間后就不再掌握的現(xiàn)象。
解決這一問題的方法以,通過實(shí)踐我們認(rèn)為采用類似德國職業(yè)教育所推行的以行動為導(dǎo)向的項(xiàng)目教學(xué)法為好。其基本的思路是:
(1)先整體后具體:在學(xué)習(xí)CAD技術(shù)時(shí),先期進(jìn)行總體介紹,讓學(xué)生有全局的認(rèn)識,打消畏難的情緒;而后開始進(jìn)入各項(xiàng)目的的學(xué)習(xí)實(shí)踐。
(2)先低頻后高頻:總體而言學(xué)生進(jìn)入學(xué)習(xí)后應(yīng)從簡而繁,低頻的一些電子產(chǎn)品其電路較之高頻的簡單,學(xué)習(xí)應(yīng)從其中入手。
(3)先規(guī)范后異型:突出異型電路板的設(shè)計(jì)制做,其目的是讓學(xué)生今后在實(shí)際工作中具有變通的能力,在CAD技術(shù)中也手工調(diào)整電路布局的精華所在。
(4)先單層后多層,先分立后貼片。此處不再綴言。
最后一點(diǎn)是,對于各個(gè)CAD制作的電路,不應(yīng)僅停留于電腦的設(shè)計(jì),在教學(xué)的過程中應(yīng)讓學(xué)生的設(shè)計(jì)成為成品。這樣可使學(xué)習(xí)更為直觀,并更有成就感,隨之的效果是學(xué)生對學(xué)習(xí)到的技術(shù)彌久常新。當(dāng)然,這種做法也會使教學(xué)的成本大幅上揚(yáng),但從人才培養(yǎng)的角度看,這樣的投入是值得的。
4、結(jié)語
在電子設(shè)計(jì)中運(yùn)用電子線路CAD技術(shù),不僅解決了電子設(shè)計(jì)中電路原理圖繪制以及功能分析和布線方面的苦難。同時(shí),讓學(xué)生通過在自主地進(jìn)行一些電子設(shè)計(jì),并在的過程中運(yùn)用該技術(shù),適于鍛煉他們使用電子線路CAD技術(shù)的實(shí)際能力并有助于其真正了解和掌握這一技術(shù)。
參考文獻(xiàn)
[1]朱潔.電子線路CAD技術(shù)在高職電子信息工程專業(yè)畢業(yè)設(shè)計(jì)中的應(yīng)用[J].中國現(xiàn)代教育裝備,2010,(15):55~57.
篇5
【關(guān)鍵詞】機(jī)械電子工程 工程設(shè)計(jì) 技術(shù)要點(diǎn) 分析
機(jī)械電子工程是科技快速發(fā)展的產(chǎn)物,是高新技術(shù)的代表。機(jī)械電子工程在各個(gè)國家和領(lǐng)域都有進(jìn)行大力研究和投入,目前在我國,機(jī)械電子工程是重要的高科技產(chǎn)業(yè),對全面提高我國的國際競爭力有著非常積極的意義?,F(xiàn)就機(jī)械電子工程設(shè)計(jì)中的技術(shù)要點(diǎn)分析,具體如下。
1 機(jī)械電子工程中的技術(shù)要點(diǎn)――EDA技術(shù)
在機(jī)械電子工程設(shè)計(jì)中,EDA技術(shù)是一種新技術(shù),是機(jī)械電子工程設(shè)計(jì)中非常重要的技術(shù)。在實(shí)際應(yīng)用過程中,EDA技術(shù)的主要載體是能進(jìn)行大規(guī)模編程的邏輯器件,在進(jìn)行編程的時(shí)候,使用硬件描述語言的表達(dá)方式。在EDA技術(shù)應(yīng)用的過程中,需要利用計(jì)算機(jī)和可編程邏輯器件等,作為開發(fā)軟件或試驗(yàn)軟件,對特定的目標(biāo)芯片進(jìn)行適配編譯和邏輯映射,最終形成電子新系統(tǒng)或?qū)S眉尚酒?。EDA技術(shù)的發(fā)展是在電子電路CAD技術(shù)上形成的計(jì)算機(jī)軟件系統(tǒng)。EDA技術(shù)的組成部件是編譯器、綜合器、下載器和適配器,對于綜合器,是用來將設(shè)計(jì)師的設(shè)計(jì)文件進(jìn)行轉(zhuǎn)換,成為該系統(tǒng)的門級描述,綜合器的作用其實(shí)就是能將硬件和軟件進(jìn)行相互連接。對于適配器,是能生成最終下載文件,并能將其安排到指定對的器件中。目前,在機(jī)械電子工程設(shè)計(jì)方面,主要的核心技術(shù)就是EDA技術(shù),其主要是因?yàn)樵贓DA技術(shù)中應(yīng)用的是HDL高級語言,HDL高級語言可以實(shí)現(xiàn)公開利用、描述范圍廣,在進(jìn)行機(jī)械電子設(shè)計(jì)中,能起到非常多的輔助作用,在進(jìn)行后期交流、修改和保存的過程中也十分方便,且該語言能對現(xiàn)有的已經(jīng)完成的設(shè)計(jì)方案進(jìn)行自動在線升級。另外,EDA技術(shù)有著非常高的自動化程度,對一些常規(guī)的仿真、糾錯(cuò)等工作能夠快速完成。
2 EDA技術(shù)在機(jī)械電子工程設(shè)計(jì)中的研究
2.1 EDA技術(shù)進(jìn)行仿真分析
在確定機(jī)械電子工程的設(shè)計(jì)方案后,就要通過科學(xué)的系統(tǒng)仿真或者結(jié)構(gòu)模擬,對設(shè)計(jì)方案的科學(xué)性、合理性以及可行性進(jìn)行分析和研究。通過分析和研究,才能保證在后續(xù)實(shí)踐中,設(shè)計(jì)方案的順利應(yīng)用。而在電子設(shè)計(jì)中應(yīng)用EDA技術(shù)進(jìn)行仿真分析能夠?yàn)閭握娣治鎏峁┝己玫募夹g(shù)支持 ,EDA技術(shù)進(jìn)行的仿真分析,是通過各個(gè)環(huán)節(jié)當(dāng)中的傳遞函數(shù)進(jìn)行數(shù)學(xué)建模來實(shí)現(xiàn)的,經(jīng)過構(gòu)建和仿真系統(tǒng),能準(zhǔn)確驗(yàn)證一些理論和構(gòu)思的合理性,能夠很好的對設(shè)計(jì)方案進(jìn)行推廣和使用。另外,EDA技術(shù)在完成仿真分析后,能夠?qū)Ω鱾€(gè)電路的實(shí)際結(jié)構(gòu)、電路結(jié)構(gòu)的正確性以及性能指標(biāo)進(jìn)行分析。這種采用EDA技術(shù)進(jìn)行的仿真能夠使我國的機(jī)械電子工程設(shè)計(jì)水平得到很大的提高。
2.2 EDA技術(shù)對電路特性的優(yōu)化設(shè)計(jì)
對設(shè)計(jì)方案進(jìn)行優(yōu)化,主要目的是保證電子元件在實(shí)際應(yīng)用過程中的穩(wěn)定性和可靠性,而要想實(shí)現(xiàn)這一目標(biāo)就要能夠保證其有最佳的容差和工作環(huán)境溫度。在實(shí)際工作中,要對電子元件的實(shí)際容差以及環(huán)境溫度進(jìn)行全面勘測和分析,而傳統(tǒng)的電子工程設(shè)計(jì)方法是很難實(shí)現(xiàn)這一點(diǎn)的,并且還會導(dǎo)致所得到的設(shè)計(jì)方案存在某些漏洞和誤差,從而不能有效保障電子元件的實(shí)際容差和工作環(huán)境溫度。通過EDA技術(shù)對電路特性進(jìn)行優(yōu)化設(shè)計(jì)能夠有效解決這一問題,其主要原因是EDA技術(shù)能夠?qū)囟冗M(jìn)行統(tǒng)計(jì)分析,進(jìn)而根據(jù)統(tǒng)計(jì)分析結(jié)果確定最佳電子元件參數(shù)和電路結(jié)構(gòu)圖,并獲得相應(yīng)的環(huán)境溫度。由此看來,EDA技術(shù)對電路特性的優(yōu)化設(shè)計(jì),不僅能能對機(jī)械電子工程設(shè)計(jì)方案進(jìn)行優(yōu)化、完善,還能夠保證電子元件在實(shí)際工作環(huán)境中的穩(wěn)定性。
2.3 EDA技術(shù)對電路特性進(jìn)行有效分析
對電路特性進(jìn)行有效分析,是EDA技術(shù)中非常重要的部分,其中主要原因是因樵諢械電子工程方案設(shè)計(jì)過程中,基本上所有的理論分析都是在數(shù)據(jù)測試和特性分析的基礎(chǔ)上來進(jìn)行的,所以在數(shù)據(jù)測試和特性分析方面所獲得的數(shù)據(jù)必須保證其準(zhǔn)確性和及時(shí)性。而傳統(tǒng)的電子工程設(shè)計(jì)方法,由于受到多方影響,使得其在數(shù)據(jù)測試和特性分析等方面存在問題,不能保證電路測試的實(shí)際精度,甚至對產(chǎn)品后期使用的穩(wěn)定性有所影響。而EDA技術(shù)對電路特性進(jìn)行分析,能夠?qū)φ麄€(gè)系統(tǒng)進(jìn)行全面的、精確的測試,避免設(shè)計(jì)方案出現(xiàn)結(jié)構(gòu)性的差異,保證了機(jī)械電子工程設(shè)計(jì)方案的合理性和科學(xué)性。
2.4 在機(jī)械結(jié)構(gòu)中要防止靜電發(fā)生
近年來,電子產(chǎn)品的發(fā)展主要是以輕薄為發(fā)展趨勢,而愈加縮小的線路和密集的電子元件排列,對靜電的防治有著更高的要求,靜電電流會對電子元件造成致命的毀壞。靜電電場對周邊電荷的吸引力,會導(dǎo)致集成電路的燒毀,所以,在實(shí)際工作中,工作人員要做好靜電防護(hù)工作,降低靜電發(fā)生的概率。
3 結(jié)束語
綜上所述,機(jī)械電子工程在推動我國科技進(jìn)步和社會發(fā)展方面有著非常重要的意義,而EDA技術(shù)在機(jī)械電子工程技術(shù)方案設(shè)計(jì)的應(yīng)用中為我國的電子工程行業(yè)帶來了巨大的變革,采用EDA技術(shù)設(shè)計(jì)出來的產(chǎn)品具有使用性能好、專業(yè)化程度高、穩(wěn)定性強(qiáng)等特點(diǎn),采用EDA技術(shù)能在較大程度上提高工作效率,能獲得更多高附加值的電子產(chǎn)品。本文主要就機(jī)械電子工程設(shè)計(jì)中的技術(shù)要點(diǎn)(EDA技術(shù))進(jìn)行闡述,然后對EDA技術(shù)在機(jī)械電子工程設(shè)計(jì)中的研究進(jìn)行了分析討論。筆者希望更多的專業(yè)人士投入到該研究中,針對文中存在的不足,提出指征意見,為提高我國的機(jī)械的電子工程設(shè)計(jì)行業(yè)做出貢獻(xiàn)。
參考文獻(xiàn)
[1]文東云.機(jī)械電子工程設(shè)計(jì)中的技術(shù)要點(diǎn)探討[J].電子技術(shù)與軟件工程,2015(06):142.
[2]徐榮蛟.淺析機(jī)械電子工程設(shè)計(jì)中的技術(shù)要點(diǎn)[J].企業(yè)技術(shù)開發(fā),2015(25):43-44+61.
[3]王立君.機(jī)械電子工程設(shè)計(jì)中的技術(shù)研究探討[J].硅谷,2013(14):156+160.
篇6
電子技術(shù)的發(fā)展,推動各行各業(yè)的發(fā)展,應(yīng)用廣泛———廣播通信、網(wǎng)絡(luò)、航空航天、工業(yè)、交通、醫(yī)學(xué)、消費(fèi)類電子領(lǐng)域都離不開電子技術(shù)。眾所周知的北京2008年奧運(yùn)會的水立方建筑運(yùn)用50萬顆LED燈構(gòu)成世界上最大的半導(dǎo)體照明工程。學(xué)習(xí)電子技術(shù)基礎(chǔ)是適應(yīng)時(shí)展之必須。高職教育不同于普通高等教育,它的專業(yè)設(shè)置和課程設(shè)置指導(dǎo)思想都是以服務(wù)為宗旨,以就業(yè)為導(dǎo)向。針對區(qū)域經(jīng)濟(jì)發(fā)展的要求,我們進(jìn)行了廣泛的市場調(diào)研,重點(diǎn)調(diào)研了長三角地區(qū)高職畢業(yè)生的主要就業(yè)崗位,需要具備的職業(yè)能力及從業(yè)資格證書等問題,應(yīng)用電子專業(yè)的就業(yè)崗位主要有:電子產(chǎn)品維修工,電子產(chǎn)品裝配工,電子產(chǎn)品調(diào)試員,電子產(chǎn)品工藝員。通過崗位的典型工作任務(wù),職業(yè)能力分析,歸納出職業(yè)行動領(lǐng)域,然后根據(jù)我系的實(shí)際教學(xué)條件,實(shí)訓(xùn)條件,將職業(yè)行動領(lǐng)域轉(zhuǎn)化為學(xué)習(xí)領(lǐng)域,構(gòu)建了《電路與模擬電子技術(shù)》這門課程。同時(shí),我們制定了課程標(biāo)準(zhǔn)。
2電路與模擬電子技術(shù)課程目標(biāo)
本課程的總體目標(biāo)是:通過對電路原理、常用電子元器件、模擬電路及其系統(tǒng)的分析和設(shè)計(jì)的學(xué)習(xí),使學(xué)生獲得電路與模擬電子技術(shù)方面的基礎(chǔ)知識、基礎(chǔ)理論和基本技能,為深入學(xué)習(xí)電子技術(shù)及其在專業(yè)中的應(yīng)用打下基礎(chǔ)。其中包括:(1)知識目標(biāo):掌握電路基本概念、基本分析和計(jì)算方法;會計(jì)算電路主要參數(shù);掌握電路波形圖畫法、建立電路模型的方法;會判斷器件類型、電路工作狀態(tài);(2)能力目標(biāo):培養(yǎng)學(xué)生正確使用常用儀表的能力;培養(yǎng)學(xué)生正確選擇元器件的能力;培養(yǎng)學(xué)生檢索與閱讀各種電子手冊及資料的能力;培養(yǎng)學(xué)生識讀與分析電路的能力;培養(yǎng)學(xué)生安裝和焊接電路的能力;培養(yǎng)學(xué)生電路測試方案的設(shè)計(jì)能力和對測試數(shù)據(jù)的分析能力;培養(yǎng)學(xué)生排除電路故障的能力;培養(yǎng)學(xué)生進(jìn)行簡單電路設(shè)計(jì)的能力;(3)情感目標(biāo):通過趣味案例激發(fā)學(xué)生好奇心和學(xué)習(xí)興趣;通過學(xué)習(xí)情境挖掘?qū)W生的求知欲和創(chuàng)造欲,樹立學(xué)生自信心。
3電路與模擬電子技術(shù)課程設(shè)計(jì)
本門課程設(shè)計(jì)的理念是:以學(xué)生職業(yè)能力的培養(yǎng)為最根本的出發(fā)點(diǎn),理論學(xué)習(xí)以必須,夠用為度,同時(shí)進(jìn)行課證融合。在課程的教學(xué)過程中采用多種教學(xué)方法和手段:傳統(tǒng)的教學(xué)法、直觀教學(xué)法、探究法、啟發(fā)式教學(xué)和多媒體教學(xué)手段。
4電路與模擬電子技術(shù)課程實(shí)施
在課程的實(shí)施過程中教師首先進(jìn)行了學(xué)情分析:高職院校的學(xué)生學(xué)習(xí)基礎(chǔ)普遍較差,學(xué)習(xí)能力欠缺,急于求成,缺乏持久性。雖然學(xué)生對電類專業(yè)課入門的學(xué)習(xí)具有一定的興趣,但這種興趣不夠穩(wěn)定,需要教師創(chuàng)設(shè)適度的情境,適時(shí)地激發(fā)。所以在教學(xué)過程中,教師要力求做到將深奧的知識淺顯化,抽象的知識形象化。課程的重點(diǎn)難點(diǎn)是半導(dǎo)體器件,放大電路,負(fù)反饋。教師對重點(diǎn)、難點(diǎn)的處理方法有:(1)傳統(tǒng)的講解法;(2)直觀式教學(xué);(3)配合flas演示;(4)通過萬用表測試加深理解;(5)創(chuàng)建學(xué)習(xí)情境。例如:在半導(dǎo)體器件的講解部分,可采用直觀式的教學(xué)法,帶領(lǐng)學(xué)生認(rèn)識各種不同的二極管,三極管。對于三極管的講解,配合萬用表測試加深理解。下面以一次課實(shí)驗(yàn)課———三極管電流放大特性為例,來說明課堂的教學(xué)組織。三極管的電流放大特性這節(jié)內(nèi)容是深入模擬電子技術(shù)部分的第一道難關(guān)。學(xué)生只有深入到心里層面去理解了這節(jié)內(nèi)容,才可以舉一反三去理解后續(xù)學(xué)習(xí)的電子元器件。教師采用基于工作過程“教、學(xué)、做”一體化的教學(xué)設(shè)計(jì),把啟發(fā)式教學(xué)貫穿整個(gè)教學(xué)過程,通過探究實(shí)驗(yàn)操作和多媒體仿真,把抽象的理論知識難度降低,達(dá)到突破難點(diǎn),幫助學(xué)生化難為易,讓學(xué)生輕松愉快充滿信心地完成學(xué)習(xí)。
5考核方案
課程的考核方案根據(jù)學(xué)院教務(wù)處的要求,期中成績占30%,平時(shí)成績占30%,期末成績占40%。平時(shí)成績包括:課堂考核,課后作業(yè),單元測驗(yàn)。在學(xué)期結(jié)束前另有為期一周的教學(xué)實(shí)習(xí),教師根據(jù)維修電工的考試內(nèi)容結(jié)合實(shí)際情況申報(bào),并由系部統(tǒng)一采購實(shí)習(xí)耗材。實(shí)習(xí)的考核分為:優(yōu)———電路功能完全實(shí)現(xiàn),性能優(yōu)良,工藝精美。良———電路功能基本實(shí)現(xiàn),性能優(yōu)良。中———電路功能基本實(shí)現(xiàn),性能不夠穩(wěn)定。及格———在教師輔助制作下,電路功能基本實(shí)現(xiàn)。不及格———電路功能未實(shí)現(xiàn)且學(xué)習(xí)態(tài)度有問題。
6教學(xué)評價(jià)
課程的教學(xué)評價(jià)包括:校內(nèi)督導(dǎo)評價(jià),同行專家評價(jià),教師自我評價(jià),學(xué)生評價(jià)。
7課程特色及展望
篇7
一、EDA技術(shù)的定義及構(gòu)成
所謂EDA技術(shù)是在電子CAD技術(shù)基礎(chǔ)上發(fā)展起來的計(jì)算機(jī)軟件系統(tǒng)。它是以計(jì)算機(jī)為工作平臺,以硬件描述語言為系統(tǒng)邏輯描述的主要表達(dá)方式,以EDA工具軟件為開發(fā)環(huán)境,以大規(guī)??删幊踢壿嬈骷LD(ProgrammableLogicDevice)為設(shè)計(jì)載體,以專用集成電路ASIC(ApplicationSpecificIntegratedCircuit)、單片電子系統(tǒng)SOC(SystemOnaChip)芯片為目標(biāo)器件,以電子系統(tǒng)設(shè)計(jì)為應(yīng)用方向的電子產(chǎn)品自動化設(shè)計(jì)過程[J]。在此過程中,設(shè)計(jì)者只需利用硬件描述語言HDL(HardwareDescriptionlanguage),在EDA工具軟件中完成對系統(tǒng)硬件功能的描述,EDA工具便會自動完成邏輯編譯、化簡、分割、綜合、優(yōu)化、布局、布線和仿真,直至特定目標(biāo)芯片的適配編譯、邏輯映射和編程下載等工作,最終形成集成電子系統(tǒng)或?qū)S眉尚酒?。盡管目標(biāo)系統(tǒng)是硬件,但整個(gè)設(shè)計(jì)和修改過程如同完成軟件設(shè)計(jì)一樣方便和高效。
現(xiàn)代EDA技術(shù)的基本特征是采用高級語言描述,具有系統(tǒng)級仿真和綜合能力。EDA技術(shù)研究的對象是電子設(shè)計(jì)的全過程,有系統(tǒng)級、電路級和物理級各個(gè)層次的設(shè)計(jì)。EDA技術(shù)研究的范疇相當(dāng)廣泛,從ASIC開發(fā)與應(yīng)用角度看,包含以下子模塊:設(shè)計(jì)輸入子模塊、設(shè)計(jì)數(shù)據(jù)庫子模塊、分析驗(yàn)證子模塊、綜合仿真子模塊和布局布線子模塊等。EDA主要采用并行工程和“自頂向下”的設(shè)計(jì)方法,然后從系統(tǒng)設(shè)計(jì)入手,在頂層進(jìn)行功能方框圖的劃分和結(jié)構(gòu)設(shè)計(jì),在方框圖一級進(jìn)行仿真、糾錯(cuò),并用VHDL等硬件描述語言對高層次的系統(tǒng)行為進(jìn)行描述,在系統(tǒng)一級進(jìn)行驗(yàn)證,最后再用邏輯綜合優(yōu)化工具生成具體的門級邏輯電路的網(wǎng)表,其對應(yīng)的物理實(shí)現(xiàn)級可以是印刷電路板或?qū)S眉呻娐贰?/p>
二、EDA技術(shù)的發(fā)展
EDA技術(shù)的發(fā)展至今經(jīng)歷了三個(gè)階段:電子線路的CAD是EDA發(fā)展的初級階段,是高級EDA系統(tǒng)的重要組成部分。它利用計(jì)算機(jī)的圖形編輯、分析和存儲等能力,協(xié)助工程師設(shè)計(jì)電子系統(tǒng)的電路圖、印制電路板和集成電路板圖。它可以減少設(shè)計(jì)人員的繁瑣重復(fù)勞動,但自動化程度低,需要人工干預(yù)整個(gè)設(shè)計(jì)過程。
EDA技術(shù)中級階段已具備了設(shè)計(jì)自動化的功能。其主要特征是具備了自動布局布線和電路的計(jì)算機(jī)仿真、分析和驗(yàn)證功能。其作用已不僅僅是輔助設(shè)計(jì),而且可以代替人進(jìn)行某種思維。
高級EDA階段,又稱為ESDA(電子系統(tǒng)設(shè)計(jì)自動化)系統(tǒng)。過去傳統(tǒng)的電子系統(tǒng)電子產(chǎn)品的設(shè)計(jì)方法是采用自底而上(Bottom-UP)的程式,設(shè)計(jì)者先對系統(tǒng)結(jié)構(gòu)分塊,直接進(jìn)行電路級的設(shè)計(jì)。EDA技術(shù)高級階段采用一種新的設(shè)計(jì)概念:自頂而下(TOP-Down)的設(shè)計(jì)程式和并行工程(ConcurrentEngineering)的設(shè)計(jì)方法,設(shè)計(jì)者的精力主要集中在所設(shè)計(jì)電子產(chǎn)品的準(zhǔn)確定義上,EDA系統(tǒng)去完成電子產(chǎn)品的系統(tǒng)級至物理級的設(shè)計(jì)。此階段EDA技術(shù)的主要特征是支持高級語言對系統(tǒng)進(jìn)行描述。可進(jìn)行系統(tǒng)級的仿真和綜合。
三、基于EDA技術(shù)的電子系統(tǒng)設(shè)計(jì)方法
1.電子系統(tǒng)電路級設(shè)計(jì)
首先確定設(shè)計(jì)方案,同時(shí)要選擇能實(shí)現(xiàn)該方案的合適元器件,然后根據(jù)具體的元器件設(shè)計(jì)電路原理圖。接著進(jìn)行第一次仿真,包括數(shù)字電路的邏輯模擬、故障分析、模擬電路的交直流分析和瞬態(tài)分析。系統(tǒng)在進(jìn)行仿真時(shí),必須要有元件模型庫的支持,計(jì)算機(jī)上模擬的輸入輸出波形代替了實(shí)際電路調(diào)試中的信號源和示波器。這一次仿真主要是檢驗(yàn)設(shè)計(jì)方案在功能方面的正確性。仿真通過后,根據(jù)原理圖產(chǎn)生的電氣連接網(wǎng)絡(luò)表進(jìn)行PCB板的自動布局布線。在制作PCB板之前還可以進(jìn)行后分析,包括熱分析、噪聲及竄擾分析、電磁兼容分析和可靠性分析等,并且可以將分析后的結(jié)果參數(shù)反標(biāo)回電路圖,進(jìn)行第二次仿真,也稱為后仿真,這一次仿真主要是檢驗(yàn)PCB板在實(shí)際工作環(huán)境中的可行性。
可見,電路級的EDA技術(shù)使電子工程師在實(shí)際的電子系統(tǒng)產(chǎn)生之前,就可以全面了解系統(tǒng)的功能特性和物理特性,從而將開發(fā)過程中出現(xiàn)的缺陷消滅在設(shè)計(jì)階段,不僅縮短了開發(fā)時(shí)間,也降低了開發(fā)成本。
2.系統(tǒng)級設(shè)計(jì)
系統(tǒng)級設(shè)計(jì)是一種“概念驅(qū)動式”設(shè)計(jì),設(shè)計(jì)人員無須通過門級原理圖描述電路,而是針對設(shè)計(jì)目標(biāo)進(jìn)行功能描述。由于擺脫了電路細(xì)節(jié)的束縛,設(shè)計(jì)人員可以把精力集中于創(chuàng)造性概念構(gòu)思與方案上,一旦這些概念構(gòu)思以高層次描述的形式輸入計(jì)算機(jī)后,EDA系統(tǒng)就能以規(guī)則驅(qū)動的方式自動完成整個(gè)設(shè)計(jì)。
系統(tǒng)級設(shè)計(jì)的步驟如下:
第一步:按照“自頂向下”的設(shè)計(jì)方法進(jìn)行系統(tǒng)劃分。
第二步:輸入VHDL代碼,這是系統(tǒng)級設(shè)計(jì)中最為普遍的輸入方式。此外,還可以采用圖形輸入方式(框圖、狀態(tài)圖等),這種輸入方式具有直觀、容易理解的優(yōu)點(diǎn)。
第三步:將以上的設(shè)計(jì)輸入編譯成標(biāo)準(zhǔn)的VHDL文件。對于大型設(shè)計(jì),還要進(jìn)行代碼級的功能仿真,主要是檢驗(yàn)系統(tǒng)功能設(shè)計(jì)的正確性,因?yàn)閷τ诖笮驮O(shè)計(jì),綜合、適配要花費(fèi)數(shù)小時(shí),在綜合前對源代碼仿真,就可以大大減少設(shè)計(jì)重復(fù)的次數(shù)和時(shí)間,一般情況下,可略去這一仿真步驟。
第四步:利用綜合器對VHDL源代碼進(jìn)行綜合優(yōu)化處理,生成門級描述的網(wǎng)表文件,這是將高層次描述轉(zhuǎn)化為硬件電路的關(guān)鍵步驟。綜合優(yōu)化是針對ASIC芯片供應(yīng)商的某一產(chǎn)品系列進(jìn)行的,所以綜合的過程要在相應(yīng)的廠家綜合庫支持下才能完成。綜合后,可利用產(chǎn)生的網(wǎng)表文件進(jìn)行適配前的時(shí)序仿真,仿真過程不涉及具體器件的硬件特性,較為粗略。一般設(shè)計(jì),這一仿真步驟也可略去。
第五步:利用適配器將綜合后的網(wǎng)表文件針對某一具體的目標(biāo)器件進(jìn)行邏輯映射操作,包括底層器件配置、邏輯分割、邏輯優(yōu)化和布局布線。:
第六步:將適配器產(chǎn)生的器件編程文件通過編程器或下載電纜載入到目標(biāo)芯片F(xiàn)PGA或CPLD中。如果是大批量產(chǎn)品開發(fā),通過更換相應(yīng)的廠家綜合庫,可以很容易轉(zhuǎn)由ASIC形式實(shí)現(xiàn)。
四、前景展望
21世紀(jì)將是EDA技術(shù)的高速發(fā)展時(shí)期,EDA技術(shù)是現(xiàn)代電子設(shè)計(jì)技術(shù)的發(fā)展方向,并著眼于數(shù)字邏輯向模擬電路和數(shù)?;旌想娐返姆较虬l(fā)展。EDA將會超越電子設(shè)計(jì)的范疇進(jìn)入其他領(lǐng)域隨著集成電路技術(shù)的高速發(fā)展,數(shù)字系統(tǒng)正朝著更高集成度、超小型化、高性能、高可靠性和低功耗的系統(tǒng)級芯片(SoC,SystemonChip)方向發(fā)展,借助于硬件描述語言的國際標(biāo)準(zhǔn)VHDL和強(qiáng)大的EDA工具,可減少設(shè)計(jì)風(fēng)險(xiǎn)并縮短周期,隨著VHDL語言使用范圍的日益擴(kuò)大,必將給硬件設(shè)計(jì)領(lǐng)域帶來巨大的變革。
參考文獻(xiàn):
[1]譚會生,張昌凡.EDA技術(shù)及應(yīng)用[M].西安:西安電子科技大學(xué)出版社,2001.
篇8
【關(guān)鍵詞】電子工程設(shè)計(jì) EDA技術(shù) 研究分析
隨著電子技術(shù)的發(fā)展革新,應(yīng)用系統(tǒng)逐步朝向大容量、小型化、快速化的方向發(fā)展。數(shù)字化的設(shè)計(jì)系統(tǒng)也逐步由組合芯片向單片系統(tǒng)發(fā)展。EDA技術(shù)不僅帶來了電子產(chǎn)品領(lǐng)域和系統(tǒng)開發(fā)的革命性變革,這也是科技發(fā)展與提高的必然產(chǎn)物。對于EDA技術(shù)的了解和對其在電子工程設(shè)計(jì)中的關(guān)鍵性分析都是十分有意義的。
1 EDA技術(shù)概述
所謂EDA技術(shù),就是電子設(shè)計(jì)自動化,由CAE、CAD、CAM等計(jì)算機(jī)概念發(fā)展出現(xiàn)。EDA技術(shù)以計(jì)算機(jī)為主要工具,集合了圖形學(xué)、數(shù)據(jù)庫、拓?fù)溥壿?、?yōu)化理論、計(jì)算數(shù)學(xué)、圖論等學(xué)科,形成最新的理論體系,是微電子技術(shù)、計(jì)算機(jī)信息技術(shù)、電路理論、信號處理和信號分析的結(jié)晶?,F(xiàn)代化的EDA技術(shù)具備很多特點(diǎn),普遍采用了“自頂向下”的程序進(jìn)行設(shè)計(jì),保證了設(shè)計(jì)方案的整體優(yōu)化,EDA技術(shù)的自動化程度更高,在設(shè)計(jì)過程中能夠進(jìn)行各類級別的調(diào)試、糾錯(cuò)和仿真,設(shè)計(jì)者能夠及時(shí)發(fā)現(xiàn)結(jié)構(gòu)設(shè)計(jì)的錯(cuò)誤,避免了設(shè)計(jì)上的工作浪費(fèi),設(shè)計(jì)人員也能拋開細(xì)枝末節(jié)的問題,將更多精力集中于系統(tǒng)開發(fā),保證了設(shè)計(jì)的低成本、高效率、循環(huán)快、周期短。EDA技術(shù)還能實(shí)現(xiàn)并行操作,建立起并行工程框架的結(jié)構(gòu)環(huán)境,支持更多人同時(shí)并行電子工程的技術(shù)開發(fā)和設(shè)計(jì)。
2 EDA技術(shù)發(fā)展
電子工程設(shè)計(jì)的EDA技術(shù)自出現(xiàn)以來,大致可以分為三個(gè)歷史時(shí)期:
2.1 初級階段
大約在二十世紀(jì)的七十年代,早期的EDA技術(shù)處于CAD階段,出現(xiàn)了小規(guī)模的集成電路,由于傳統(tǒng)手工在制圖設(shè)計(jì)中的集成電路和集成電路板的花費(fèi)大、效率低、周期長,借助于計(jì)算機(jī)技術(shù)的設(shè)計(jì)印刷,采取了CAD工具實(shí)現(xiàn)布圖布線的二維平面編輯和分析,取代了高重復(fù)性的傳統(tǒng)工藝。
2.2 發(fā)展階段
到了二十世紀(jì)八十年代,EDA技術(shù)進(jìn)入了發(fā)展完善的階段。集成電路的規(guī)模逐漸擴(kuò)大,電子系統(tǒng)日益復(fù)雜化,人們深入研究軟件開發(fā),將CAD集成為系統(tǒng),加強(qiáng)了電路的機(jī)構(gòu)設(shè)計(jì)和功能設(shè)計(jì),這一時(shí)期的EDA技術(shù)已經(jīng)開始延伸到半導(dǎo)體芯片設(shè)計(jì)的領(lǐng)域。
2.3 成熟階段
經(jīng)過了長期的發(fā)展,直至二十世紀(jì)九十年代,微電子技術(shù)的發(fā)展突飛猛進(jìn),單個(gè)芯片的集成就能夠達(dá)到幾百萬或是幾千萬甚至上億的晶體管,這種科技現(xiàn)狀對EDA技術(shù)提出更高的要求,推動了EDA技術(shù)的發(fā)展。各類技術(shù)公司陸續(xù)開發(fā)出大規(guī)模EDA軟件系統(tǒng),出現(xiàn)了系統(tǒng)級仿真、高級語言描述和綜合技術(shù)的EDA技術(shù)。
3 EDA技術(shù)軟件
3.1 EWB軟件
所謂EWB是一種基于PC的電子設(shè)計(jì)軟件,具備了集成化工具、仿真器、原理圖輸入、分析、設(shè)計(jì)文件夾、接口等六大特點(diǎn)。
3.2 PROTEL軟件
該技術(shù)軟件廣泛應(yīng)用了Prote199,主要由電路原理圖的設(shè)計(jì)系統(tǒng)和印刷電路板的設(shè)計(jì)系統(tǒng)兩大部分組成。高層次的設(shè)計(jì)技術(shù)在近年的國際EDA技術(shù)領(lǐng)域開發(fā)、研究、應(yīng)用中成為熱門課題,并且迅速發(fā)展,成果顯著。該領(lǐng)域主要包括了硬件語言描述、高層次模擬、高層次的綜合技術(shù)等,伴隨著科技水平的提升,EDA技術(shù)也必然會朝向更高層次的自動化設(shè)計(jì)技術(shù)不斷發(fā)展。
4 EDA在電子工程設(shè)計(jì)中的應(yīng)用技術(shù)流程
近年來的EDA技術(shù)深入到了各個(gè)領(lǐng)域,包括了通信、醫(yī)藥、化工、生物、航空航天等等,但是在電子工程設(shè)計(jì)的領(lǐng)域中應(yīng)用的最為突出,主要利用了EDA技術(shù)為虛擬儀器的測試產(chǎn)品提供了技術(shù)支持。EDA技術(shù)在電子工程設(shè)計(jì)的領(lǐng)域中,主要應(yīng)用于了電路設(shè)計(jì)仿真分析、電路特性優(yōu)化設(shè)計(jì)等方面。主要的技術(shù)流程如下:
4.1 源程序
通常情況下,電子工程設(shè)計(jì)首要的步驟就是通過EDA技術(shù)領(lǐng)域中的器件軟件,利用了文本或者是圖形編輯器的方式來進(jìn)行展示。不管是圖形編輯器或者是文本編輯器的使用,都需要應(yīng)用EDA工具進(jìn)行排錯(cuò)和編譯的工作,文件能夠?qū)崿F(xiàn)格式的轉(zhuǎn)化,為邏輯綜合分析提供了準(zhǔn)備工作。只要輸入了源程序,就能夠?qū)崿F(xiàn)仿真器的仿真。
4.2 邏輯綜合
在源程序中應(yīng)用了實(shí)現(xiàn)了VHDL的格式轉(zhuǎn)化之后,就進(jìn)入了邏輯綜合分析的環(huán)節(jié)。運(yùn)用綜合器就能夠?qū)㈦娐吩O(shè)計(jì)過程中使用的高級指令轉(zhuǎn)換成層次較低的設(shè)計(jì)語言,這就是邏輯綜合。通過邏輯綜合的過程,這可以看作是電子設(shè)計(jì)的目標(biāo)優(yōu)化過程,將文件輸入仿真器,實(shí)施仿真操作,保持功效和結(jié)果的一致性。
4.3 時(shí)序仿真
在實(shí)現(xiàn)了邏輯綜合透配之后,就可以進(jìn)行時(shí)序仿真的環(huán)節(jié)了,所謂的時(shí)序仿真指的就是將基于布線器和適配器出現(xiàn)的VHDL文件運(yùn)用適當(dāng)?shù)氖侄蝹鬟_(dá)到仿真器中,開始部分仿真。VHDL仿真器考慮到了器件特性,所以適配后的時(shí)序仿真結(jié)果較為精確。
4.4 仿真分析
在確定了電子工程設(shè)計(jì)方案之后,利用系統(tǒng)仿真或者是結(jié)構(gòu)模擬的方法進(jìn)行方案的合理性和可行性研究分析。利用EDA技術(shù)實(shí)現(xiàn)系統(tǒng)環(huán)節(jié)的函數(shù)傳遞,選取相關(guān)的數(shù)學(xué)模型進(jìn)行仿真分析。這一系統(tǒng)的仿真技術(shù)同樣可以運(yùn)用到其他非電子工程專業(yè)設(shè)計(jì)的工作中,能夠應(yīng)用到方案構(gòu)思和理論驗(yàn)證等方面。
5 結(jié)束語
伴隨著科學(xué)的發(fā)展,技術(shù)的革新,EDA技術(shù)的領(lǐng)域也在向高層次的技術(shù)推廣和開發(fā),成效十分顯著。本篇論文我們對EDA技術(shù)的相關(guān)信息進(jìn)行了詳細(xì)的分析很研究,研究表明,EDA技術(shù)對于我國的電子工程設(shè)計(jì)改革具有巨大的推動力,基于EDA技術(shù)領(lǐng)域的電子產(chǎn)品在專業(yè)化程度和使用性能上都要比傳統(tǒng)的設(shè)計(jì)方案制造的產(chǎn)品更加優(yōu)化。將EDA技術(shù)應(yīng)用到電子工程設(shè)計(jì)的領(lǐng)域當(dāng)中,對于電子產(chǎn)品的優(yōu)化和工作效率的提高以及產(chǎn)品附加值的拓展都有很大的作用。
參考文獻(xiàn)
[1]白楊.電子工程設(shè)計(jì)中EDA技術(shù)的應(yīng)用[J].科海故事博覽.科技探索,2012(6):242.
[2]于洋.分析EDA技術(shù)在電子工程設(shè)計(jì)中的應(yīng)用[J].電子制作,2012(12):83.
[3]徐冠宇.淺談電子工程設(shè)計(jì)的EDA技術(shù)[J].中國科技縱橫,2011(9):328.
篇9
EDA技術(shù)在長期的發(fā)展過程當(dāng)中不斷實(shí)現(xiàn)完善和優(yōu)化,且其實(shí)際應(yīng)用范圍也越來越廣泛,到目前為止,已經(jīng)全面應(yīng)用到了電子工程、通信、生物、醫(yī)藥以及航空航天等多個(gè)領(lǐng)域,尤其是在機(jī)械電子工程當(dāng)中,更是十分核心的技術(shù),這主要是因?yàn)樵陔娮庸こ淘O(shè)計(jì)當(dāng)中需要通過EDA技術(shù)中的虛擬儀器來對產(chǎn)品進(jìn)行必要的測試,其具體應(yīng)用分析如下。
2在電子設(shè)計(jì)當(dāng)中進(jìn)行仿真分析
機(jī)械電子工程設(shè)計(jì)方案完全確定以后就需要通過適當(dāng)?shù)南到y(tǒng)仿真或者結(jié)構(gòu)模擬來對其科學(xué)性、合理性以及可行性進(jìn)行分析和研究,以此來保證所設(shè)計(jì)方案能夠在后續(xù)實(shí)踐當(dāng)中順利應(yīng)用。此時(shí)采用EDA技術(shù)的好處就在于能夠通過各個(gè)環(huán)節(jié)當(dāng)中的傳遞函數(shù)進(jìn)行數(shù)學(xué)建模來進(jìn)行所需要的仿真分析,經(jīng)過這樣一種構(gòu)建和處理的仿真系統(tǒng),能夠很好對機(jī)械電子工程系統(tǒng)設(shè)計(jì)方案進(jìn)行推廣和使用,并準(zhǔn)確驗(yàn)證一些理論和構(gòu)思的實(shí)際合理性。除此之外,EDA技術(shù)在仿真分析完成之后還能夠?qū)Ω鱾€(gè)電路的實(shí)際結(jié)構(gòu)進(jìn)行分析,同時(shí)對電路結(jié)構(gòu)的正確性和性能指標(biāo)進(jìn)行分析,實(shí)現(xiàn)分析過程的量化??梢钥吹降氖?,這樣一種量化的仿真分析確實(shí)使得我們國家電子工程設(shè)計(jì)整體水平得到了極大程度的提高。
3電路特性的優(yōu)化設(shè)計(jì)
想要保證電子元件在應(yīng)用過程當(dāng)中盡可能的安全和穩(wěn)定,最重要的就是要保證其擁有最佳的容差和工作環(huán)境溫度,但是在實(shí)際的工作環(huán)境下,傳統(tǒng)電子工程設(shè)計(jì)方法是很難對電子元件的實(shí)際容差以及環(huán)境溫度進(jìn)行全面的勘測和分析的,因此就容易導(dǎo)致所得到的設(shè)計(jì)方案存在著各個(gè)方面的漏洞和誤差,電子元件的容差以及工作環(huán)境溫度當(dāng)然也很難得到有效的保障。通過EDA技術(shù)則能夠較好的解決該問題,這主要是因?yàn)镋DA技術(shù)能夠?qū)囟冗M(jìn)行統(tǒng)計(jì)分析,并根據(jù)分析結(jié)果確定出最佳的電子元件參數(shù)和電路結(jié)構(gòu)來,相應(yīng)的也就能夠獲取適宜的工作環(huán)境溫度,這樣一來,不僅能夠?qū)﹄娮庸こ淘O(shè)計(jì)方案本身進(jìn)行必要的完善和優(yōu)化,還使得其實(shí)際工作環(huán)境也有了相當(dāng)好的保證,可謂是一舉兩得。
4對電路特性進(jìn)行有效分析
事實(shí)上,對電路特性進(jìn)行有效分析是EDA技術(shù)當(dāng)中非常重要的一部分內(nèi)容,這主要是因?yàn)樵陔娮庸こ淘O(shè)計(jì)當(dāng)中,基本上所有的理論分析都是基于數(shù)據(jù)測試和特性分析來進(jìn)行的,因此這樣兩部分?jǐn)?shù)據(jù)獲得的及時(shí)性和準(zhǔn)確性都是非常關(guān)鍵的。在傳統(tǒng)的電子工程設(shè)計(jì)當(dāng)中,由于受到硬件和技術(shù)等各個(gè)方面的局限,其測試結(jié)構(gòu)和測試方法都存在較多方面的問題,使得電路測試的實(shí)際精度受到非常大的影響,嚴(yán)重時(shí)候甚至有可能干涉到產(chǎn)品的后期使用穩(wěn)定性。而EDA技術(shù)的應(yīng)用則能夠?qū)φ麄€(gè)系統(tǒng)進(jìn)行全面而精確的測試,既能夠避免方案在局部出現(xiàn)結(jié)構(gòu)性差異,還能夠?qū)Ψ桨刚w性和合理性予以保障。
5機(jī)械結(jié)構(gòu)中注意防止靜
電機(jī)械結(jié)構(gòu)主要是指根據(jù)一定的原理方案,設(shè)計(jì)出具體的結(jié)構(gòu)圖,并應(yīng)用于實(shí)物,最終達(dá)到要求功能的結(jié)構(gòu)。隨著高科技的發(fā)展,尤其是以輕、薄為發(fā)展趨勢的電子產(chǎn)品的發(fā)展,對集成電路元器件的要求也越來越高,愈加縮小的線路和密集的電子元件排列對都靜電防治提出了更高要求。靜電電場和靜電電流是造成電子元件毀壞的致命因素。靜電電場和電流對周圍電荷的強(qiáng)大吸引力,破壞了絕緣體造成元器件敏感度的急劇下降,甚至電路導(dǎo)體燒融,電子產(chǎn)品爆炸等。
6結(jié)束語
篇10
關(guān)鍵詞:EDA技術(shù);電子線路設(shè)計(jì);應(yīng)用
中圖分類號:TN702 文獻(xiàn)標(biāo)識碼:A 文章編號:1000-8136(2012)06-0006-02
1 前言
EDA技術(shù)是電子設(shè)計(jì)自動化(Elechonice Des5p AM?toM60n)的縮寫,其是伴隨著計(jì)算機(jī)、集成電路、電子系統(tǒng)的設(shè)計(jì)發(fā)展起來的,是幫助人們設(shè)計(jì)電子電路或系統(tǒng)的軟件工具。它是以計(jì)算機(jī)為平臺,融合了應(yīng)用電子技術(shù)、計(jì)算機(jī)技術(shù)、智能化技術(shù)最新成果而研制的電子CAD通用軟件包,主要輔助進(jìn)行3方面的工作:IC設(shè)計(jì)、電子線路設(shè)計(jì)以及PCB設(shè)計(jì)。目前,常用的EDA技術(shù)軟件有Spice/Pspice、Multisim、protel、Oread、Matlab;SystemView;Mmicad等。當(dāng)前,隨著電子技術(shù)和計(jì)算機(jī)技術(shù)的不斷發(fā)展,在涉及通信、國防、航天、工業(yè)自動化、儀器儀表等領(lǐng)域的電子系統(tǒng)設(shè)計(jì)工作中,EDA技術(shù)的含量正以驚人的速度上升,逐漸成為當(dāng)今電子技術(shù)發(fā)展的前沿之一。
2 EDA技術(shù)的相關(guān)概述
2.1 EDA技術(shù)的3個(gè)發(fā)展階段
(1)20世紀(jì)70年代的CAD(計(jì)算機(jī)輔助設(shè)計(jì))階段:這一階段的主要特征是利用計(jì)算機(jī)輔助進(jìn)行IC版圖編輯、PCB布局布線,取代了手工操作,把設(shè)計(jì)師從繁重的繪圖勞動中解放出來,產(chǎn)生計(jì)算機(jī)輔助設(shè)計(jì)的概念。
(2)20世紀(jì)80年代的QtE(計(jì)算機(jī)輔助工程設(shè)計(jì))階段:這一階段的主要特征是除了純粹的圖形設(shè)計(jì)功能之外,又增加了電路功能設(shè)計(jì)和結(jié)構(gòu)設(shè)計(jì),主要以原理圖輸入、邏輯圖仿真、電路分析、故障仿真、自動布局布線和PCB分析為核心,重點(diǎn)解決電路設(shè)計(jì)的功能檢測問題。
(3)20世紀(jì)90年代的EDA(電子設(shè)計(jì)自動化)階段:這一階段的主要特征是以高級描述語言、系統(tǒng)級仿真和綜合技術(shù)為特點(diǎn),采用“自頂向下”的設(shè)計(jì)理念,將設(shè)計(jì)前期的許多高層次設(shè)計(jì)用EDA工具完成。
2.2 EDA技術(shù)的基本特征
應(yīng)用EDA技術(shù)進(jìn)行設(shè)計(jì),設(shè)計(jì)者可從概念、算法、協(xié)議等開始設(shè)計(jì)電子系統(tǒng),并可將電子產(chǎn)品從電路設(shè)計(jì)、性能分析到設(shè)計(jì)出IC版圖或PCB版圖的整個(gè)過程在計(jì)算機(jī)上自動處理完成。
在設(shè)計(jì)時(shí),設(shè)計(jì)師采用的是“自頂向下”的設(shè)計(jì)方法,其首先是從系統(tǒng)設(shè)計(jì)入手,在頂層進(jìn)行功能方框圖的劃分和結(jié)構(gòu)設(shè)計(jì)。在方框圖一級進(jìn)行仿真、糾錯(cuò),并用硬件描述語言對高層次的系統(tǒng)行為進(jìn)行描述,在系統(tǒng)一級進(jìn)行實(shí)證。然后,用綜合優(yōu)化工具生成具體門電路的網(wǎng)絡(luò)表,其對應(yīng)的物理實(shí)現(xiàn)級可以是印刷電路板或?qū)S眉呻娐罚ˋSIC)。
3 EDA 技術(shù)在電子線路設(shè)計(jì)中的設(shè)計(jì)步驟
(1)按照“自頂而下”的設(shè)計(jì)方法進(jìn)行系統(tǒng)劃分。
(2)輸入VHDL代碼,這是高層次設(shè)計(jì)中最為普遍的輸入方式。
(3)將以上設(shè)計(jì)輸入編譯成標(biāo)準(zhǔn)的VHDL文件。對于大型的設(shè)計(jì),還要進(jìn)行代碼級的功能仿真,主要是檢驗(yàn)系統(tǒng)功能設(shè)計(jì)的正確性,以減少設(shè)計(jì)重復(fù)的次數(shù)和時(shí)間。
(4)利用仿真器對VHDL源代碼進(jìn)行綜合優(yōu)化處理,生成門級描述的網(wǎng)表文件,這是將高層次描述轉(zhuǎn)化為硬件電路的關(guān)鍵步驟。綜合后,可利用生產(chǎn)的網(wǎng)表文件進(jìn)行適配前的時(shí)序仿真。
(5)利用適配器件將綜合后的網(wǎng)表文件針對某一具體的目標(biāo)器件進(jìn)行邏輯映射操作,包括底層器件配置、邏輯分割、邏輯優(yōu)化、布局布線。適配完成后,產(chǎn)生多項(xiàng)設(shè)計(jì)結(jié)果:適配報(bào)告(包括芯片內(nèi)部資源利用情況、設(shè)計(jì)的布爾方程描述情況等)、適配后的仿真模型、器件編程文件。根據(jù)適配后的仿真模型,可以進(jìn)行適配后的時(shí)序仿真,以精確地預(yù)期未來芯片的實(shí)際性能。
(6)將適配器件生產(chǎn)的器件編程文件通過編程器或下載電纜載入到目標(biāo)芯片CPLD/FPGA中。如果是大批量產(chǎn)品開發(fā),通過更換相應(yīng)的廠家綜合庫,可以很容易轉(zhuǎn)由ASIC形式實(shí)現(xiàn)。
4 EDA技術(shù)的應(yīng)用實(shí)例
由于常用的EDA技術(shù)軟件有Spice/Pspice、Multisim、protel、Oread、Matlab;SystemView;Mmicad等,本文以PSPICE仿真軟件為例分析EDA技術(shù)在電子線路設(shè)計(jì)中的應(yīng)用。
4.1 PSPICE軟件的特點(diǎn)
PSPICE軟件是在SPICE基礎(chǔ)上的一種電路仿真工具軟件,它不僅支持文本輸入,還支持圖形輸入,擁有龐大的元器件庫、參數(shù)模型庫以及種類齊全的測試儀器儀表等。
一般,PSPICE軟件的主要分析功能有:①直流工作點(diǎn)的分析;②瞬態(tài)分析,即觀察所選定的節(jié)點(diǎn)在整個(gè)顯示周期中每一時(shí)刻的電壓波形;③傅里葉分析,用于分析一個(gè)時(shí)域信號的直流分量、基頻分量和諧波分量;④交流小信號分析,包括頻域分析、電路噪聲分析和失真分析;⑤參數(shù)掃描、零極點(diǎn)、 傳遞函數(shù)、直流靈感度、交流靈感度、蒙特卡洛法等。
4.2 用PSPICE仿真技術(shù)模擬電子線路實(shí)例
以BJT互阻放大電路見圖1所示為例。BJT的型號為NPN 型硅管2N3904,β=160。電路參數(shù)為Rf=10 k,Rc=500 Ω,RL=100 Ω,Vcc=12 V。首先分析電路靜態(tài)工作點(diǎn)Q的基本情況;然后分析當(dāng)電路中反饋電阻Rf的值從5 kΩ到50 kΩ之間發(fā)生變化,電路工作環(huán)境溫度從-30 ~50 ℃之間發(fā)生變化時(shí),集電極電流Ic值的變化情況。
在PSPICE中進(jìn)入[Schematics]主窗口,繪出圖1所示電路原理圖。選擇Analysis \ Setup中的Bias Point Detail進(jìn)行分析,在輸出文件中,可以觀察到見表1的結(jié)果,從中了解靜態(tài)工作點(diǎn)Q的基本情況。
在Analysis \ Setup中設(shè)置DC Sweep(直流分析),選擇Temperature作為掃描變量,并設(shè)置起始溫度為-30 ℃、終止溫度為50 ℃、步長為10 ℃。同時(shí)再在Analysis \ Setup中設(shè)置Parametric(參數(shù)分析),選擇Global Parameter選項(xiàng)設(shè)置電阻Rf起始值為5 k、終止值為50 k、步長為5 k。啟動Analysis \ Simulate命令,進(jìn)行直流掃描和參數(shù)掃描計(jì)算分析后進(jìn)行仿真,形成一個(gè)Probe輸出的圖形畫面,選擇所要觀測的節(jié)點(diǎn),觀察其電流電壓的波形(見圖2)。從圖2中可以看出當(dāng)溫度在-30 ~50 ℃的情況下,對于反饋電阻Rf取不同值時(shí),集電極電流Ic的變化情況。Rf越小,說明Ic的變化范圍越小,電路穩(wěn)定Q點(diǎn)的性能越好。設(shè)計(jì)者可以根據(jù)選擇Rf的數(shù)值來選擇Ic的工作電流。
5 結(jié)束語
綜上所述,本文通過EDA技術(shù)在電子線路設(shè)計(jì)領(lǐng)域的探討,清楚地認(rèn)識到,EDA技術(shù)憑借其高速、準(zhǔn)確的設(shè)計(jì)能力,可很好地保障高精端電路的性能,在現(xiàn)代電子電路設(shè)計(jì)上越發(fā)重要。相信,隨著ADS、HFSS等軟件的進(jìn)一步完善,其在電路設(shè)計(jì)領(lǐng)域的應(yīng)用會更加廣泛。
參考文獻(xiàn):
[1]馬楠,周焱.EDA在射頻電子電路設(shè)計(jì)中的應(yīng)用[J].山西電子技術(shù),2005(2).
[2]嚴(yán)偉林.EDA仿真在電子技術(shù)教學(xué)中的應(yīng)用探析[J].讀寫算(教師版):素質(zhì)教育論壇,2012(1).
EDA Technology in the Design of Electronic Circuits
Lu Zhichun
熱門標(biāo)簽
電子商務(wù)論文 電子論文 電子信息工程論文 電子信息論文 電子政務(wù)論文 電子商務(wù)論文 電子設(shè)計(jì)論文 電子商務(wù)教學(xué)論文 電子技術(shù)應(yīng)用 電子化政府 人大辦公室 人大辦 人大閉幕會 人大閉幕式
相關(guān)文章
1電子信息工程專業(yè)實(shí)踐教學(xué)體系的研究
3交互式電子白板在小學(xué)數(shù)學(xué)教學(xué)的應(yīng)用
4計(jì)量技術(shù)機(jī)構(gòu)文書檔案電子化管理對策