集成電路設(shè)計步驟范文
時間:2023-10-11 17:24:47
導(dǎo)語:如何才能寫好一篇集成電路設(shè)計步驟,這就需要搜集整理更多的資料和文獻,歡迎閱讀由公務(wù)員之家整理的十篇范文,供你借鑒。
篇1
關(guān)鍵詞:集成電路設(shè)計;應(yīng)用型人才;課程改革
中圖分類號:G642.0 文獻標志碼:A 文章編號:1674-9324(2016)14-0059-02
一、引言
在過去的20多年來,中國教育實現(xiàn)兩大歷史性跨越。第一是實現(xiàn)了基本普及義務(wù)教育,基本掃除青壯年文盲的目標;第二是中國高等教育開始邁入大眾化階段,高教毛入學(xué)率達到17%。據(jù)《2012年中國大學(xué)生就業(yè)報告》顯示[1],在2011年畢業(yè)的大學(xué)生中,有近57萬人處于失業(yè)狀態(tài),10多萬人選擇“啃老”;即使工作一年的人,對工作的滿意率也只有47%。2012年,全國普通高校畢業(yè)生規(guī)模達到680萬人,畢業(yè)人數(shù)再創(chuàng)新高,大學(xué)生將面臨越來越沉重的就業(yè)壓力。面對這樣的困境,國家相關(guān)部分提出了一系列的舉措,其中對本科畢業(yè)生的培養(yǎng)目標逐漸向應(yīng)用型人才轉(zhuǎn)變[2-4]。集成電路作為信息產(chǎn)業(yè)的基礎(chǔ)和核心,是國民經(jīng)濟和社會發(fā)展的戰(zhàn)略性產(chǎn)業(yè),已成為當前國際競爭的焦點和衡量一個國家或地區(qū)現(xiàn)代化程度以及綜合國力的重要標志。本文將在對集成電路設(shè)計專業(yè)特點分析的基礎(chǔ)上,以北京信息科技大學(xué)集成電路設(shè)計專業(yè)課程設(shè)置為例,介紹面向應(yīng)用型人才培養(yǎng)目標地集成電路設(shè)計本科課程現(xiàn)階段存在的問題并給出相關(guān)可行的改革方案。
二、集成電路設(shè)計專業(yè)特點
進入本世紀后,我國的集成電路發(fā)展迅速,集成電路設(shè)計需求劇增。為了適應(yīng)社會發(fā)展的需要,國家開始加大推廣集成電路設(shè)計相關(guān)課程的本科教學(xué)工作[5]。經(jīng)過十年多的發(fā)展,集成電路設(shè)計專業(yè)特色也越來越明顯。
首先,集成電路設(shè)計專業(yè)對學(xué)生的專業(yè)基礎(chǔ)知識要求高。隨著工藝的不斷進步,集成電路芯片的尺寸不斷下降,芯片功能不斷增強,功耗越來越低,速度越來越快。但隨著器件尺寸的不斷下降,組成芯片的最基本單元――“器件”的高階特性對電路性能的影響越來越大。除了器件基礎(chǔ),電路設(shè)計人員同時還需要了解后端電路設(shè)計相關(guān)的版圖、工藝、封裝、測試等相關(guān)基礎(chǔ)知識,而這些流程環(huán)環(huán)相扣,任何一個環(huán)節(jié)出現(xiàn)問題,很難想象芯片能正常工作[6]。因此,對于一個合格的電路設(shè)計人員,深厚的專業(yè)基礎(chǔ)知識是必不可少的。
其次,集成電路設(shè)計專業(yè)需要學(xué)生對各種電子設(shè)計自動化工具熟悉,實踐能力強。隨著電子設(shè)計自動化工具的不斷發(fā)展,在電路設(shè)計的每一個階段,電路設(shè)計人員可以通過計算機完成電路設(shè)計的部分或全部的相關(guān)內(nèi)容。另一方面,電子設(shè)計自動化工具的相關(guān)比較多,即使是同一家公司的同一種軟件的更新速度相當快,集成電路設(shè)計工具種類繁多,而且沒有統(tǒng)一的標準這對集成電路設(shè)計教學(xué)增加了很大的難度。
再次,集成電路設(shè)計專業(yè)的相關(guān)教學(xué)工作量大。正如前面所介紹,要完成一個電路芯片的設(shè)計,需要電路設(shè)計人員需要了解從器件基礎(chǔ)到電路搭建、電路仿真調(diào)試、版圖、工藝、封裝、測試等相關(guān)知識,同時還要通過實驗熟悉各種電子設(shè)計自動化工具的使用。所有相關(guān)內(nèi)容對集成電路設(shè)計專業(yè)的教學(xué)內(nèi)容提出了更多的要求,但從現(xiàn)有的情況看,相關(guān)專業(yè)的課時數(shù)目難以改變,所以在有限的課時內(nèi)如何合理分配教學(xué)內(nèi)容是集成電路設(shè)計專業(yè)教師重要的工作。
最后,集成電路設(shè)計專業(yè)對配套的軟、硬件平臺要求高,投入資金成本高。從現(xiàn)有的情況看,國際上有4大集成電路設(shè)計EDA公司,還有很多中、小型EDA公司。每個公司的產(chǎn)品各不相同,即使針對相同的電路芯片,設(shè)計自動化工具也各不相同。在硬件方面,軟件的安裝通常在高性能的服務(wù)器上,因此,硬件方面的成本也很高。軟硬件方面的成本嚴重地阻礙了國內(nèi)很多高等院校的集成電路設(shè)計專業(yè)發(fā)展。
三、集成電路設(shè)計專業(yè)課程設(shè)置及存在的問題
在集成電路設(shè)計專業(yè)課程設(shè)置方面,不同的學(xué)校的課程設(shè)置各不相同。但總的來說可以分為三類:基礎(chǔ)課、專業(yè)課和選修課。在三類課程的設(shè)置方面,每個學(xué)校的定義各不相同,主要是根據(jù)本校集成電路設(shè)計專業(yè)的側(cè)重點不同而有所區(qū)別。從國內(nèi)幾大相關(guān)院校的課程設(shè)置看,基礎(chǔ)課主要包括:《固體物理》、《半導(dǎo)體物理》、《晶體管原理》、《模擬電子技術(shù)》、《數(shù)字電子技術(shù)》等;專業(yè)課主要包括:《模擬集成電路設(shè)計》、《數(shù)字集成電路設(shè)計》、《信號處理》、《高頻電路》等;選修課主要包括:《集成電路EDA》、《集成電路芯片測試》、《集成電路版圖設(shè)計》、《集成電路封裝》等。
從現(xiàn)有的課程設(shè)置可以看到,針對國家應(yīng)用型人才培養(yǎng)目標,現(xiàn)有的課程設(shè)置還存在很多問題,具體地說:
首先,課程設(shè)置偏于理論課程,實踐內(nèi)容缺乏,不符合應(yīng)用型人才的培養(yǎng)目標要求。從上面的課程設(shè)置情況可以看到,各大高校在課程安排方面都側(cè)重于理論教學(xué),缺乏實踐內(nèi)容。比如:《模擬集成電路設(shè)計》課程總學(xué)時為48,實驗學(xué)時為8,遠遠低于實際需求,難以在短短8學(xué)時內(nèi)完成模擬集成電路設(shè)計相關(guān)實踐活動。雖然集成電路設(shè)計專業(yè)對于專業(yè)基礎(chǔ)知識要求寬廣,但并不深厚,因此,浪費太多時間在每個設(shè)計流程相關(guān)的理論知識的闡述是不合適的,也不符合我國大學(xué)生的現(xiàn)狀。
其次,實踐活動不能與集成電路設(shè)計業(yè)界實際需要相結(jié)合,實踐內(nèi)容沒有可行性。從目前各大高等院校的課程內(nèi)容方面調(diào)研結(jié)果表明,對于本科教學(xué)情況,90%以上的實踐內(nèi)容都是教師根據(jù)理論教學(xué)內(nèi)容設(shè)置一些簡單可行的小電路,學(xué)生按照實驗指導(dǎo)書的內(nèi)容按相關(guān)步驟操作即可完成整個實驗過程。實驗內(nèi)容簡單、重復(fù),與集成電路設(shè)計業(yè)界實際需要完全不相關(guān),這對學(xué)生以后的就業(yè)、擇業(yè)意義不大。
最后,沒有突現(xiàn)學(xué)校的專業(yè)特色,不適于當今社會集成電路設(shè)計業(yè)界對本科畢業(yè)生的要求。但在競爭激烈的電子信息產(chǎn)業(yè)界,如果想要畢業(yè)生擇業(yè)或者就業(yè)時有更強的競爭力,各大高校需要有自己的專業(yè)特色,但現(xiàn)在各個高校的現(xiàn)狀仍然是“全面發(fā)展,沒有特色”。這對于地方高校的集成電路設(shè)計專業(yè)畢業(yè)生是一個劣勢。
四、面向應(yīng)用型人才培養(yǎng)目標的課程改革
針對上面闡述的相關(guān)問題,本文給出了面向應(yīng)用型人才培養(yǎng)目標的集成電路設(shè)計專業(yè)課程改革的幾點方案,具體地說:
首先,削減理論課的課時,加大實驗內(nèi)容比例。理論課時遠遠高于實踐課時是當今大學(xué)生教育的一個重要弊端,這也直接導(dǎo)致了大學(xué)生動手能力差、實踐活動參與度低、分工合作意識薄弱。而在不增加授課學(xué)時的前提下要改變這一現(xiàn)象,唯一的方法就是改變授課內(nèi)容,適當削減理論課的課時,加大實驗內(nèi)容的比例。這樣既能滿足國家對于本科畢業(yè)生應(yīng)用型人才的培養(yǎng)目標,也符合創(chuàng)新型本科生的特點。
其次,積極推進“校企聯(lián)合辦學(xué)”,讓學(xué)生更早接觸業(yè)界發(fā)展,指導(dǎo)擇業(yè)、就業(yè)。正如前面介紹,現(xiàn)在各大高等院校的教學(xué)內(nèi)容理論性太強,學(xué)生在大學(xué)四年學(xué)習(xí)到的相關(guān)知識與實際應(yīng)用相脫離。這也造成很大一部分本科畢業(yè)生在入職后的第一年難以進入工作狀態(tài),工作效率差,影響后面學(xué)生的就業(yè)、擇業(yè)。如果能在學(xué)生在校期間,比如大學(xué)三年級或更早,推進“校企聯(lián)合辦學(xué)”,使學(xué)生更早了解到業(yè)界真正工作模式以及業(yè)界關(guān)注的重點,這對于學(xué)生后續(xù)進入工作非常有利,同時也能推進學(xué)??蒲泄ぷ鳌?/p>
最后,實現(xiàn)優(yōu)質(zhì)教學(xué)資源的共享。這里的教學(xué)資源,除了包括授課筆記、教案、教學(xué)講義外還包括高水平教師。雖然現(xiàn)在高等教育研究相關(guān)機構(gòu)也開設(shè)了一些青年教師課程培訓(xùn)相關(guān)內(nèi)容,但真正取得的成效還相對比較小。另外,針對集成電路設(shè)計專業(yè)來說,跟隨業(yè)界發(fā)展的相關(guān)知識更新較快,配套的軟硬件代價較高,如果能實現(xiàn)高校軟硬件教學(xué)資源的共享,尤其是高水平高校扶持低水平高校,這將更有利于提高畢業(yè)生的整體水平。
五、結(jié)論
本文詳細分析面對應(yīng)用型人才培養(yǎng)目標的集成電路設(shè)計專業(yè)的特點,并在對國內(nèi)相關(guān)院校集成電路設(shè)計專業(yè)調(diào)研基礎(chǔ)上給出集成電路設(shè)計專業(yè)的基礎(chǔ)課、專業(yè)課、選修課課程的內(nèi)容以及教學(xué)方式情況,指出面向應(yīng)用型人才培養(yǎng)目標現(xiàn)在課程設(shè)置方面存在的問題。同時,文章給出了在當今大學(xué)生招生人數(shù)劇增情況下,如何合理安排集成電路設(shè)計專業(yè)課程的方案從而實現(xiàn)應(yīng)用型培養(yǎng)目標。
參考文獻:
[1]王興芬.面向應(yīng)用型人才培養(yǎng)的實踐教學(xué)內(nèi)涵建設(shè)及其管理機制改革[J].實驗技術(shù)與管理,2012,(29):117-119.
[2]殷樹娟,齊臣杰.集成電路設(shè)計的本科教學(xué)現(xiàn)狀及探索[J].中國電力教育,2012,(4):64-66.
[3]侯燕芝,王軍,等.實驗教學(xué)過程規(guī)范化管理的研究與實踐[J].實驗室研究與探索,2012,(10):124-126.
[4]張宏勛,和蔭林,等.高校實驗室教學(xué)文化變革的阻力及其化解[J].實驗室研究與探索,2012,(10):162-165.
篇2
【關(guān)鍵詞】集成電路版圖;教學(xué)方法;改革
集成電路版圖設(shè)計是集成電路設(shè)計的最終結(jié)果,版圖質(zhì)量的優(yōu)劣直接關(guān)系到整個芯片的性能和經(jīng)濟性,因此,如何培養(yǎng)學(xué)生學(xué)好集成電路版圖設(shè)計技術(shù),具備成為合格的版圖設(shè)計工程師的基本潛質(zhì),是擺在微電子專業(yè)老師面前的一個普遍難題。如何破解這個難題,我們做了以下探索。
一、突出實踐,理論配合
傳統(tǒng)的《集成電路版圖設(shè)計》課程采取理論教育優(yōu)先,學(xué)生對于版圖的基本理論和設(shè)計規(guī)則非常熟悉,但動手實踐能力缺乏培養(yǎng),往往在學(xué)生畢業(yè)后進入集成電路設(shè)計企業(yè)還需二次培訓(xùn)版圖設(shè)計能力,造成了嚴重的人力資源浪費。這是由于沒有清晰的認識《集成電路版圖設(shè)計》課程的性質(zhì),造成對它的講授還是采取傳統(tǒng)教學(xué)方式:老師講,學(xué)生聽,偏重理論,缺乏實踐,影響到學(xué)生在工作中面臨實際設(shè)計電路能力的發(fā)揮?!都呻娐钒鎴D設(shè)計》是一門承接系統(tǒng)、電路、工藝、EDA技術(shù)的綜合性課程,如果按照傳統(tǒng)方式授課,課程的綜合性和實踐性無法得到體現(xiàn),違背了課程應(yīng)有的自身規(guī)律,教學(xué)效果和實用意義不能滿足工業(yè)界的要求。我們在重新思考課程的本質(zhì)特點后,采取了實踐先行,理論配合的教學(xué)方法,具體如下:集成電路版圖是根據(jù)邏輯與電路功能和性能要求,以及工藝水平要求來設(shè)計光刻用的掩膜圖形,實現(xiàn)芯片設(shè)計的最終輸出。版圖是一組相互套合的圖形,各層版圖相應(yīng)于不同的工藝步驟,每一層版圖使用不同的圖案來表示。我們首先講授版圖設(shè)計工具EDA軟件的使用,讓學(xué)生掌握EDA軟件的每一個主要功能,從圖形的選擇、材料的配置,讓學(xué)生從感性角度認識實際的版圖設(shè)計是如何開展的,每一個步驟是如何使用軟件完成的,整體芯片版圖設(shè)計的流程有哪些規(guī)定,學(xué)生此時設(shè)計的版圖可能不是很精確和完美,但學(xué)生對于什么是版圖和如何設(shè)計版圖有了初步的感性認識,建立起版圖設(shè)計的基本概念,對于后續(xù)的學(xué)習(xí)奠定了牢實的實踐基礎(chǔ),此時再去講授版圖設(shè)計理論知識,學(xué)生更能理解深層的工藝知識和半導(dǎo)體理論,真正做到了知行合一,實踐先行的教育理念,對學(xué)生能力的培養(yǎng)大有裨益。
二、注重細節(jié),加強引導(dǎo)
傳統(tǒng)方式講授《集成電路版圖設(shè)計》理論占大部分時間,學(xué)生知道二極管、晶體管、場效應(yīng)管、電阻、電容等基本元器件的工作原理和構(gòu)成要素,但是在版圖設(shè)計中,這些元器件為什么要這樣設(shè)計,其實內(nèi)心中充滿著疑惑和不解。針對學(xué)生的疑惑,我們從工藝細節(jié)入手來解決這個問題。作為集成電路版圖設(shè)計者,首先要熟悉工藝條件和期間物理,才能確定晶體管的具體尺寸、連線的寬度、間距、各次掩膜套刻精度等。版圖設(shè)計的規(guī)則也是由工藝來確定的,掌握了工藝也就掌握了版圖設(shè)計的鑰匙。我們將通用工藝文件的每一條規(guī)則向?qū)W生講解,通用元器件的規(guī)則整理出它們的共性,最小寬度、長度、間距的尺寸提醒學(xué)生要記憶,不同芯片生產(chǎn)廠的工藝對比學(xué)習(xí)和研究,學(xué)生在這一系列規(guī)則的學(xué)習(xí)過程中,慢慢理解熟悉了工藝規(guī)則文件的組織構(gòu)成及學(xué)習(xí)要點,能夠舉一反三的在不同工藝規(guī)則下,設(shè)計同一種元器件的版圖,即使電路元器件的數(shù)量巨大,電路拓撲關(guān)系復(fù)雜,在老師耐心的講解下,學(xué)生也能夠依據(jù)工藝規(guī)則設(shè)計出符合要求的版圖,這都是在理解了工藝規(guī)則細節(jié)的基礎(chǔ)上完成的。所以,關(guān)注細節(jié),加強引導(dǎo),是提高學(xué)生學(xué)習(xí)效果的一個重要方法。
三、完善考核機制,爭取比賽練兵
學(xué)生成績的提高,合理完善的考核機制不可或缺。以往《集成電路版圖設(shè)計》課程的考核主要是理論知識作業(yè)和課程報告,學(xué)生的學(xué)習(xí)效果和實際動手能力沒有得到考核,造成不能全面評價學(xué)生的學(xué)習(xí)成績。我們采取項目形式,全方位考核學(xué)生的學(xué)習(xí)效果。根據(jù)知識點,將通用模擬電路分成五大類,每個大類提取出經(jīng)典的電路10種,使用主流芯片加工廠的生產(chǎn)工藝,由經(jīng)驗豐富的老師把它們的版圖全部設(shè)計出來,作為庫單元放在服務(wù)器中供學(xué)生參考。在學(xué)生充分理解庫單元實例的基礎(chǔ)上,將以往設(shè)計的一些實用電路布置給學(xué)生,要求在規(guī)定的時間內(nèi),設(shè)計出合格的版圖,以此作為最終的考核結(jié)果。學(xué)生在學(xué)習(xí)課程期間,可以接觸到不同工藝、不同結(jié)構(gòu)的多種類電路,而且必須在規(guī)定的時間內(nèi)設(shè)計出版圖,這極大的促進了他們學(xué)習(xí)的積極性和時間觀念。學(xué)生在設(shè)計版圖的過程中,會遇到多種問題,他們會采取問老師答疑,和同學(xué)討論的多種方式解決,不僅能督促他們平時上課認真聽講,而且對遇到的問題也能多角度思考,最重要的是他們親自動手設(shè)計版圖,將工藝、電路、器件綜合考慮,在約定的時間內(nèi)能力得到極大提高。老師根據(jù)學(xué)生上傳至服務(wù)器中設(shè)計的不同項目版圖打分,而且將每個項目的得分出具詳細的報告,對學(xué)生的成績進行點評。學(xué)生通過查閱報告,能夠知道課程學(xué)習(xí)的缺點和得分項,為下一次提高設(shè)計成績是一個很好的參考。除了日常學(xué)習(xí)設(shè)計版圖項目,學(xué)生可以爭取參加微電子專業(yè)的一些比賽,通過比賽體會一些具有挑戰(zhàn)性的版圖設(shè)計項目,來提高學(xué)生在實際場景下如何發(fā)揮設(shè)計能力和項目組織能力,為他們未來進入職場從事版圖設(shè)計工作奠定堅實的專業(yè)能力和實際解決問題能力。
四、總結(jié)
《集成電路版圖設(shè)計》課程是一門兼具理論基礎(chǔ)和實踐鍛煉想結(jié)合的課程,對它的講授不僅需要扎實的理論基礎(chǔ),還需合理的實踐環(huán)節(jié)配合,才能取得良好的教學(xué)效果。
參考文獻
[1]Christopher Saint/Judy Saint.集成電路版圖基礎(chǔ)-實用指南[M].北京:清華大學(xué)出版社,2006(10).
[2]蔡懿慈.超大規(guī)模集成電路設(shè)計導(dǎo)論[M].北京:清華大學(xué)出版社,2005(10.
[3]編委會.最新高等院校實驗室建設(shè)與管理及教學(xué)指導(dǎo)手冊[M].北京:中國教育出版社,2006(11).
基金項目:北方工業(yè)大學(xué)教育教學(xué)改革和課程建設(shè)基金。
篇3
【關(guān)鍵詞】EDA技術(shù);QuartusⅡ;電子設(shè)計;VHDL
1.引言
集成電路設(shè)計不斷向超大規(guī)模、低功率、超高速方向發(fā)展,其核心技術(shù)是基于EDA技術(shù)的現(xiàn)代電子設(shè)計技術(shù)。EDA(Electronic Design Automation,電子設(shè)計自動化)技術(shù),以集成電路設(shè)計為目標,以可編程邏輯器件(如CPLD、FPGA)為載體,以硬件描述語言(VHDL、VerilogHDL)為設(shè)計語言,以EDA軟件工具為開發(fā)環(huán)境,利用強大計算機技術(shù)來輔助人們自動完成邏輯化和仿真測試,直到既定的電子產(chǎn)品的設(shè)計完成。其融合了,大規(guī)模集成電路制造技術(shù)、計算機技術(shù)、智能化技術(shù),可以進行電子電路設(shè)計、仿真,PCB設(shè)計,CPLD/FPGA設(shè)計等。簡言之,EDA技術(shù)可概括為在開發(fā)軟件(本文用QuartusⅡ)環(huán)境里,用硬件描述語言對電路進行描述,然后經(jīng)過編譯、仿真、修改環(huán)節(jié)后,最終下載到設(shè)計載體(CPLD、FPGA)中,從而完成電路設(shè)計的新技術(shù)。
以EDA技術(shù)為核心的現(xiàn)代電子設(shè)計方法和傳統(tǒng)的電子設(shè)計方法相比有很大的優(yōu)點,兩種設(shè)計方法的流程如下圖:
圖1 傳統(tǒng)電子設(shè)計流程圖
圖2 基于EDA的現(xiàn)代電子設(shè)計流程圖
比較兩種設(shè)計方法,基于EDA技術(shù)的現(xiàn)在電子設(shè)計方法采用自上而下的設(shè)計方法,系統(tǒng)設(shè)計的早期便可進行逐層仿真和修改,借助計算機平臺,降低了電路設(shè)計和測試的難度,極大程度地縮短了電子產(chǎn)品的設(shè)計周期、節(jié)約了電子產(chǎn)品的設(shè)計成本。DEA技術(shù)極大的促進了現(xiàn)代電子技術(shù)的發(fā)展,已成為現(xiàn)代電子技術(shù)的核心。
2.QuartusⅡ軟件開發(fā)環(huán)境介紹
QuartusⅡ軟件是Alter公司開發(fā)的綜合性EDA工具軟件,提供了強大的電子設(shè)計功能,充分發(fā)揮了FPGA、CPLD和結(jié)構(gòu)化ASIC的效率和性能,包含自有的綜合器及仿真器,支持原理圖、VHDL、VerilogHDL等多種設(shè)計輸入,把設(shè)計、布局布線和驗證功能以及第三方EDA工具無縫的集成在一起。QuartusⅡ與Alter公司的上一代設(shè)計工具MAX+plusⅡ具有一定的相似性,和繼承性。使熟悉MAX+plusⅡ開發(fā)環(huán)境的設(shè)計人員可以快速熟練應(yīng)用。相比之下,QuartusⅡ軟件功能更為強大、設(shè)計電路更為便捷,支持的器件更多。增強了自動化程度,縮短了編譯時間,提升了調(diào)試效率。從而縮短了電子產(chǎn)品的設(shè)計周期。利用QuartusⅡ軟件進行電子電路設(shè)計流程如圖3所示。
圖3 QuartusⅡ設(shè)計流程圖
3.在QuartusⅡ環(huán)境下的EDA方法設(shè)計實例
下面本文在QuartusⅡ環(huán)境下,以下降沿D觸發(fā)器的設(shè)計為例來說明基于EDA技術(shù)的現(xiàn)代電子設(shè)計方法(本文以QuartusⅡ9.0為例)。
3.1 在計算機上安裝QuartusⅡ9.0版本軟件
QuartusⅡ9.0對計算機硬件配置要求不高,現(xiàn)階段的主流配置完全可以滿足其要求。QuartusⅡ9.0安裝過程很簡單,按照提示操作即可。
3.2 D觸發(fā)器功能分析
從D觸發(fā)器真值表可以看出,當時鐘信號clk不論是高電平還是低電平,其輸出q的狀態(tài)都保持不變,當時鐘信號clk由高電平變?yōu)榈碗娖綍r,輸出信號q和輸入信號d的狀態(tài)相同。
表1 D觸發(fā)器真值表
輸入d 時鐘clk 輸出q
× 0 不變
× 1 不變
0 下降沿 0
1 下降沿 1
3.3 D觸發(fā)器的VHDL描述設(shè)計
下面給出D觸發(fā)器的VHDL描述:
library ieee;
use ieee.std_logic_1164.all;
entity dff1 is
port(d,clk:in std_logic;
q:out std_logic);
end dff1;
architecture bhv of dff1 is
begin
process(clk)
begin
if clk='1' then
q<=d;
end if;
end process;
end bhv;
上面程序在QuartusⅡ9.0環(huán)境下,經(jīng)保存后進行編譯,然后可進行波形仿真。
3.4 設(shè)計仿真
VHDL描述程序編譯后,建立矢量波形文件,之后可以進行波形仿真,得到如下波形仿真圖(如圖4所示):
圖4 D觸發(fā)器仿真波形圖
此仿真波形符合D觸發(fā)器真值表,說明電路設(shè)計正確。如果波形仿真不符合真值表,說明電路設(shè)計有問題,此時可以回到3.3步驟修改VHDL描述程序,直至仿真結(jié)果正確為止。
波形仿真正確后,可得出相應(yīng)的邏輯電路圖,D觸發(fā)器電路圖(如圖5所示)如下:
圖5 D觸發(fā)器邏輯電路圖
3.5 配置下載測試
整個電路設(shè)計、編譯仿真無誤后,按照FPGA開發(fā)板說明書進行引腳鎖定,重新進行編譯后,然后通過下載電纜線,將產(chǎn)生的sof文件下載至FPGA中,對電路進行測試、驗證,完成電路的最終設(shè)計。
4.結(jié)束語
本文以QuartusⅡ開發(fā)環(huán)境下的實際電路設(shè)計為例,介紹了基于EDA技術(shù)的現(xiàn)代電子設(shè)計方法。通過設(shè)計過程可知,DEA技術(shù)在現(xiàn)代電子電路設(shè)計中的重要性。在電子技術(shù)飛速發(fā)展的信息時代,EDA技術(shù)也在不斷發(fā)展。電子產(chǎn)品設(shè)計者有必要熟練掌握硬件描述語言、可編程邏輯器件以及各種主流軟件開發(fā)環(huán)境,這樣才可以在最短的時間內(nèi)完成高質(zhì)量的電子產(chǎn)品設(shè)計任務(wù)。
參考文獻
[1]閻石.數(shù)字電子技術(shù)基礎(chǔ)[M].北京:高等教育出版社(第五版),2006.
[2]劉江海.EDA技術(shù)[M].武漢:華中科技大學(xué)出版社,2009.
篇4
關(guān)鍵詞:集成電路工程;專業(yè)學(xué)位研究生;培養(yǎng)實踐
中圖分類號:G643 文獻標志碼:A 文章編號:1674-9324(2016)29-0221-02
一、引言
2000年6月,國務(wù)院了《鼓勵軟件產(chǎn)業(yè)和集成電路產(chǎn)業(yè)發(fā)展的若干政策》(國發(fā)18號文),并陸續(xù)推出了一系列促進IC產(chǎn)業(yè)發(fā)展的優(yōu)惠政策和措施。國家科技部在863計劃中安排了集成電路設(shè)計重大專項。在863計劃集成電路設(shè)計重大專項的實施和帶動下,北京、上海、無錫、杭州、深圳、西安、成都等七個集成電路設(shè)計產(chǎn)業(yè)化基地的建設(shè)取得了重要進展。與此同時,為了適應(yīng)我國集成電路發(fā)展對高層次專門人才的大規(guī)模需要,改善工科學(xué)位比較單一的狀況,經(jīng)國務(wù)院學(xué)位委員會批準,在我國設(shè)置集成電路工程專業(yè)學(xué)位研究生的培養(yǎng),培養(yǎng)了一批“用得上”的工程技術(shù)人才。集成電路工程專業(yè)學(xué)位研究生自設(shè)置以來,取得了蓬勃的發(fā)展,受到用人單位的肯定和好評。由于其生源廣泛、數(shù)量巨大,培養(yǎng)方法和模式更需要一定的創(chuàng)新性。近年來,在集成電路工程專業(yè)學(xué)位研究生培養(yǎng)過程中,經(jīng)過多年的辦學(xué)積累,探討了一些辦學(xué)和培養(yǎng)集成電路工程專業(yè)學(xué)位研究生的經(jīng)驗。
二、專業(yè)學(xué)位研究生培養(yǎng)過程中的關(guān)鍵事項
1.優(yōu)選導(dǎo)師,確保培養(yǎng)質(zhì)量。集成電路工程專業(yè)學(xué)位研究生教育形式較新,最初專業(yè)學(xué)位研究生的培養(yǎng)在眾多地方借鑒了學(xué)術(shù)型研究生的辦學(xué)經(jīng)驗,目前很多學(xué)者認為,只要能夠勝任學(xué)術(shù)型學(xué)歷研究生教育的導(dǎo)師就能勝任專業(yè)學(xué)位教育。這恰恰忽視了專業(yè)學(xué)位的知識背景和面向的行業(yè)領(lǐng)域。專業(yè)學(xué)位研究生教育規(guī)律與學(xué)術(shù)型研究生存在相當大的差異,首先,兩者專業(yè)基礎(chǔ)及學(xué)術(shù)背景不一樣,專業(yè)學(xué)位研究生的系統(tǒng)性方面不如學(xué)術(shù)型研究生。其次,兩者的治學(xué)環(huán)境不同,專業(yè)學(xué)位研究生與實際工程應(yīng)用相結(jié)合。根據(jù)專業(yè)學(xué)位研究生特點有針對性地開展培養(yǎng),應(yīng)該選拔具有較強工程背景的教師進行指導(dǎo)。指導(dǎo)教師在進行指導(dǎo)時,應(yīng)與學(xué)術(shù)型研究生指導(dǎo)工作有所不同,應(yīng)更加注重專業(yè)學(xué)位研究生工程實踐經(jīng)驗的培養(yǎng)。而且在學(xué)生的課題研究中,指導(dǎo)教師與學(xué)生多溝通,將自身融入到學(xué)生的實踐研究中,帶領(lǐng)學(xué)生參與技術(shù)上的創(chuàng)新和解決實際工程技術(shù)難題,這樣才能確保學(xué)生的培養(yǎng)質(zhì)量。
2.做到課堂理論與工程實際相結(jié)合。專業(yè)學(xué)位研究生培養(yǎng)的多年實踐經(jīng)驗告訴我們,在指導(dǎo)過程中必須注重理論與工程實際應(yīng)用結(jié)合,抽象概念與實際應(yīng)用結(jié)合,激發(fā)學(xué)生學(xué)習(xí)興趣,使理論易于理解和掌握。因此,教師要了解專業(yè)學(xué)位研究生的本科學(xué)歷背景、知識結(jié)構(gòu)和現(xiàn)在的工程方向等,在此基礎(chǔ)上,做到課程理論聯(lián)系工程實際,為專業(yè)學(xué)位研究生培養(yǎng)工作打下良好的基礎(chǔ)。為了滿足微電子領(lǐng)域內(nèi)不同行業(yè)的需求,在多年的專業(yè)學(xué)位研究生培養(yǎng)中進行了積極的探索。首先,學(xué)生可以根據(jù)研究方向,在教師的指導(dǎo)下進行專題理論課程的選擇。例如,進行SOC設(shè)計的可以選擇《SOC及IP技術(shù)講座》課程,研究無線傳感器網(wǎng)絡(luò)的可以選擇《無線傳感器網(wǎng)絡(luò)技術(shù)》或《計算機網(wǎng)絡(luò)與通信》專題講座,研究空間通信的選擇《深空通信技術(shù)專題》等等。有針對性地,使學(xué)生不是單純盲目的學(xué)習(xí),這樣的培養(yǎng)才能做到理論與工程實踐真正結(jié)合。實踐結(jié)果表明,那些課堂上刻苦學(xué)習(xí),能夠?qū)⒗碚撚糜趯嵺`并努力鉆研的學(xué)生,將有更好的培養(yǎng)效果和未來發(fā)展空間。
3.學(xué)位論文選題恰當,工程背景好。選題重要性要放在首位,要求“論文選題來自于工程實踐,工程背景明確,應(yīng)用性強”,有的放矢,結(jié)合工程實際問題才是最好的選題。從現(xiàn)實意義上講,專業(yè)學(xué)位論文的選題是發(fā)現(xiàn)工程問題并確認研究方向。當前有些專業(yè)學(xué)位論文質(zhì)量不高、沒有創(chuàng)新性,一個重要原因就是選題不恰當。因此,在選題時,學(xué)生應(yīng)急科研工作之所急,通過論文工作,使自己既能解決工程實際問題,又能提高科研工作能力。
集成電路工程專業(yè)學(xué)位論文的選題與學(xué)術(shù)型研究生的選題不同,其選題應(yīng)來源于工程實踐,應(yīng)有明確的應(yīng)用價值,其可以是一個完整的工程項目、技術(shù)改造或技術(shù)攻關(guān)專題,也可以是新工藝、新設(shè)備、新產(chǎn)品的研制與開發(fā)。論文是否合格不僅看其理論水平的高低,還要看是否有實際的應(yīng)用價值。因此,由于論文選題時,應(yīng)該從以下幾點之一進行把握。①研究性,是否在工程實際中有技術(shù)改進和提高。如果是結(jié)合重大工程實際課題,在技術(shù)上的創(chuàng)新將具有研究性。②創(chuàng)造性,是否在工程領(lǐng)域中有所突破和有所創(chuàng)新,如果一般通過查新,能夠申請發(fā)明專利的都具有創(chuàng)造性。③實用性,是否能解決生產(chǎn)實際中的問題。
三、集成電路工程專業(yè)學(xué)位研究生培養(yǎng)過程中的方法和步驟
專業(yè)學(xué)位研究生的培養(yǎng)過程包括課程學(xué)習(xí)、題目確定、開題報告、中期檢查、學(xué)位論文撰寫和論文答辯等環(huán)節(jié)。我校專業(yè)學(xué)位研究生的培養(yǎng)年限一般為二年,原則上用0.75-1學(xué)年完成課程學(xué)習(xí),用1-1.25學(xué)年完成碩士學(xué)位論文。這些環(huán)節(jié)是一個有機的整體,需要合理安排,搞好各個環(huán)節(jié)的鏈接,進行一體化考慮。只有嚴格要求,才能夠保證專業(yè)學(xué)位研究生在兩年的時間內(nèi)保質(zhì)保量的達到國家碩士生培養(yǎng)的要求。作為集成電路工程專業(yè)學(xué)位研究生的培養(yǎng),其專業(yè)基礎(chǔ)相對學(xué)術(shù)型研究生存在一定的差距,不進行合理的引導(dǎo)就會使得學(xué)生失去學(xué)習(xí)的興趣。專業(yè)學(xué)位研究生的培養(yǎng)不能以單純拿到畢業(yè)證為目標,應(yīng)更加嚴格管理、嚴格把關(guān),保證培養(yǎng)質(zhì)量。通過近幾年的經(jīng)驗積累,以專業(yè)學(xué)位研究生的培養(yǎng)為例,一般按照下列的步驟進行:第一學(xué)期,主要以課程學(xué)習(xí)為主,并在課堂學(xué)習(xí)中,定期安排相關(guān)教師對本實驗室從事的科研項目進行學(xué)術(shù)講座,讓學(xué)生了解實驗室開展的課題研究方向和從事的科研項目,從總體上進行了解和把握,逐漸培養(yǎng)學(xué)生的鉆研興趣。開展教師或高年級學(xué)生關(guān)于研究課題的專題講座和基本軟件使用方法技能培訓(xùn),使學(xué)生盡快掌握相關(guān)領(lǐng)域的專業(yè)知識和所需要的基本軟件操作方法,如從事ASIC接口電路的學(xué)生在第一學(xué)期就要求掌握Hspice和Candece等軟件。在學(xué)期末對學(xué)生進行相關(guān)領(lǐng)域知識進行摸底考核,對優(yōu)秀學(xué)生進行獎勵,末位學(xué)生進行督促教育,使其盡快的減小自身差距。第二學(xué)期,在學(xué)習(xí)專業(yè)課程的同時,學(xué)生進入實驗室參與科研工作,將從事科學(xué)研究的方法和經(jīng)驗有針對的進行訓(xùn)練。在進入實驗室期間,可以將科研任務(wù)進行分解,將非核心技術(shù)部分交給學(xué)生獨立去完成,讓學(xué)生提前進入科研狀態(tài),完成一些力所能及的科研任務(wù),堅定他們從事科學(xué)研究的信心。定期通過實驗室的學(xué)術(shù)活動檢查學(xué)生課題的完成情況,從總體上把握學(xué)生的研究方向和研究方法。第三學(xué)期,根據(jù)專業(yè)學(xué)位研究生的學(xué)習(xí)情況和所掌握的知識水平,有針對性的指導(dǎo)學(xué)生進行課題實踐,讓學(xué)生根據(jù)自己的特長進行課題研究。在學(xué)生進入課題研究工作時,導(dǎo)師指導(dǎo)學(xué)生了解本研究領(lǐng)域國內(nèi)外技術(shù)發(fā)展的現(xiàn)狀,培養(yǎng)學(xué)生創(chuàng)造性思維能力和獨立思考、解決問題的能力。培養(yǎng)學(xué)生閱讀國內(nèi)外文獻的能力,使其在科研工作中大膽實踐,理論聯(lián)系實際,使學(xué)生在科研工作中有所發(fā)明、有所創(chuàng)造。學(xué)生明確了課題目標,知道為什么做、做什么、怎樣做,就能有目標有方向地開展課題研究工作。第四學(xué)期,主要是督促檢查學(xué)生畢業(yè)論文工作,在其課題研究過程中應(yīng)當定期進行檢查,避免學(xué)生課題研究偏離方向,選擇錯誤的方法。導(dǎo)師應(yīng)當積極鼓勵學(xué)生在本學(xué)期多發(fā)表學(xué)術(shù)論文。發(fā)表學(xué)術(shù)論文不僅能夠提高學(xué)生的文字表達能力,還能夠讓學(xué)生勤于思考,提出自己的創(chuàng)新方法,對學(xué)生后期的畢業(yè)論文撰寫打下良好的基礎(chǔ)。因此,踏實的論文工作是提高個人學(xué)術(shù)素養(yǎng)和掌握綜合知識的最佳途徑,為學(xué)生畢業(yè)后從事科研實踐養(yǎng)成良好的工作作風,培養(yǎng)自主從事科研工作的能力。
總之,通過加強基礎(chǔ)知識、基本技能訓(xùn)練與能力培養(yǎng)的相融通;實踐與課程學(xué)習(xí)、業(yè)務(wù)培養(yǎng)與素質(zhì)提高有機結(jié)合,使集成電路工程專業(yè)學(xué)位研究生養(yǎng)成了較強的自我獲取知識的能力,自我構(gòu)建知識的能力及自我創(chuàng)新的能力。已經(jīng)畢業(yè)的專業(yè)學(xué)位研究生就業(yè)形勢一直是供不應(yīng)求??鬃釉唬褐卟蝗绾弥?,好知者不如樂知者。學(xué)生只有好知并樂知,才能使集成電路工程專業(yè)學(xué)位研究生培養(yǎng)的質(zhì)量不斷穩(wěn)定和不斷提高。
參考文獻:
[1]譚曉昀,劉曉為.信息企業(yè)集成電路工程領(lǐng)域工程碩士培養(yǎng)的探討[J].科教論壇,2009,(2):7-9.
[2]朱憲榮.改革實驗教學(xué)培養(yǎng)創(chuàng)新人才[J].化工高等教育,2007,(6).
[3]朱高峰.新世紀中國工程教育的改革與發(fā)展[J].高等工程教育研究,2003,(1):3-9.
篇5
―、構(gòu)建課程體系的總體思路
構(gòu)建微電子技術(shù)專業(yè)課程體系的總體思路是以微電子行業(yè)職業(yè)崗位需求為依據(jù),以素質(zhì)培養(yǎng)為基礎(chǔ),以技術(shù)應(yīng)用能力為核心,構(gòu)建基于工作過程的課程體系。實施學(xué)院“四環(huán)相扣”的工學(xué)結(jié)合人才培養(yǎng)模式,將“能力標準、模塊課程、工學(xué)交替、職場鑒定”的四個環(huán)節(jié)完整統(tǒng)一,環(huán)環(huán)相扣,充分體現(xiàn)了高職教育工學(xué)結(jié)合的人才培養(yǎng)思想,努力為社會培養(yǎng)優(yōu)秀高端技能型人才。
1.行業(yè)、企業(yè)等用人單位調(diào)研。通過調(diào)研國內(nèi)“成渝經(jīng)濟區(qū)”為主)微電子技術(shù)行業(yè)、企業(yè)等用人需求和要求,了解現(xiàn)有高職微電子技術(shù)專業(yè)學(xué)生就業(yè)情況、用人單位反饋意見及人才供需中存在的問題。電子信息產(chǎn)業(yè)是重慶市國民經(jīng)濟的第一支柱產(chǎn)業(yè)。重慶市“十二五”規(guī)劃建議提出,培育發(fā)展戰(zhàn)略性新興產(chǎn)業(yè)。把新一代信息產(chǎn)業(yè)建設(shè)為重要支柱產(chǎn)業(yè),建設(shè)全球最大的筆記本電腦加工基地、建設(shè)通信設(shè)備、高性能集成電路、光伏組件及系統(tǒng)、新材料等重點產(chǎn)業(yè)鏈(集群),建成國家重要的戰(zhàn)略性新興產(chǎn)業(yè)基地。以集成電路產(chǎn)業(yè)的重點項目為牽引,建成包括芯片制造、封裝、測試、模擬及混合集成電路設(shè)計和制造等項目的產(chǎn)業(yè)集群,形成較為完善的集成電路產(chǎn)業(yè)鏈;四川電子信息產(chǎn)業(yè)未來5年將邁萬億元,成渝經(jīng)濟區(qū)將打造成西部集成電路的產(chǎn)業(yè)高地。隨著惠普、富士康、英業(yè)達、廣達集團等世界級的IT巨頭進入成渝,未來幾年IT人才需求在20萬以上,而現(xiàn)在成渝地區(qū)每年培養(yǎng)的相關(guān)人才不過2萬人左右,遠遠不能滿足社會需求。市場需求的調(diào)查表明,近年來成渝地區(qū)IC制造、IC封裝及測試、IC版圖設(shè)計等崗位的微電子技術(shù)應(yīng)用型人才緊缺。同時調(diào)研表明半導(dǎo)體行業(yè)企業(yè)卻難以招到滿意的人才,學(xué)生在校學(xué)非所用,用非所學(xué),實踐動手能力、社會適應(yīng)能力、責任意識、職業(yè)素養(yǎng)難以滿足企業(yè)要求。
2.基于工作過程的課程體系的理論基礎(chǔ)?;诠ぷ鬟^程的課程體系的理論基礎(chǔ),主要從德國“雙元制”職業(yè)教育學(xué)習(xí)論和教學(xué)論的角度闡述構(gòu)建基于工作過程的課程體系的理論依據(jù)。工作過程系統(tǒng)化的課程體系必須針對職業(yè)崗位進行分析,整理出具體的、能夠涵蓋職業(yè)崗位全部工作任務(wù)的若干典型工作過程,按照人的職業(yè)能力的形成規(guī)律進行序列化,從中找出符合職業(yè)崗位要求的技術(shù)知識和破譯出隱性的工作過程知識,并以工作任務(wù)為核心,組織技術(shù)知識和工作過程知識[2]。通過完全打破原有學(xué)科體系,按照企業(yè)實際的工作任務(wù)、工作過程和工作情境組織課程,形成圍繞工作過程的新型教學(xué)項目的“綜合性”課程開發(fā)。
3.形成專業(yè)定位,確定培養(yǎng)目標。根據(jù)存在的問題及半導(dǎo)體產(chǎn)業(yè)鏈過程:集成電路設(shè)計—裸芯片精細加工^封裝測試—芯片應(yīng)用—PCB設(shè)計制造,充分掌握現(xiàn)有微電子技術(shù)專業(yè)課程體系建設(shè)的基礎(chǔ)及存在的問題,形成重慶電子工程職業(yè)學(xué)院微電子技術(shù)專業(yè)定位,確定培養(yǎng)目標:培養(yǎng)德、智、體、美全面發(fā)展;掌握微電子技術(shù)專業(yè)領(lǐng)域必備的基礎(chǔ)知識、專業(yè)知識;有較強的崗位職業(yè)技能和職業(yè)能力;面向集成電路設(shè)計、芯片制造及其相關(guān)電子行業(yè)企業(yè),滿足生產(chǎn)、建設(shè)、服務(wù)和管理第一線的優(yōu)秀高端技能型專門人才。畢業(yè)生應(yīng)該既掌握微電子方面的基本技術(shù),又具有很強的實際操作能力。具體可從事崗位:集成電路版圖設(shè)計;半導(dǎo)體器件制造;IC制造、測試、封裝;電子工藝(半導(dǎo)體)設(shè)備運行、維護與管理;簡單電子產(chǎn)品的設(shè)計與開發(fā);電子產(chǎn)品的銷售與售后服務(wù),并為技術(shù)負責人、項目經(jīng)理等后續(xù)提升崗位奠定良好基礎(chǔ)。
二、構(gòu)建基于工作過程的學(xué)習(xí)領(lǐng)域課程體系
對專業(yè)核心課程的構(gòu)建采用“微電子行業(yè)專家確定典型工作任務(wù)—學(xué)校專家歸并行動領(lǐng)域—微電子行業(yè)專家論證行動領(lǐng)域—學(xué)校專家開發(fā)學(xué)習(xí)領(lǐng)域—校企專家論證課程體系”的“五步工作機制”,實現(xiàn)校企專家共同參與課程體系設(shè)計。通過工作任務(wù)歸并法,實現(xiàn)典型工作任務(wù)到行動領(lǐng)域轉(zhuǎn)換,通過工作過程分析法,實現(xiàn)從行動領(lǐng)域到學(xué)習(xí)領(lǐng)域轉(zhuǎn)換,通過工作任務(wù)還原法,實現(xiàn)從學(xué)習(xí)領(lǐng)域到學(xué)習(xí)情境轉(zhuǎn)換的“三階段分析法”,構(gòu)建基于工作過程的微電子技術(shù)專業(yè)課程體系和教學(xué)內(nèi)容,獲得人才培養(yǎng)目標、課程體系、課程教學(xué)方案“三項主要成果”。即“533”課程設(shè)計方法。
1.確定行動領(lǐng)域。工作過程系統(tǒng)化課程是按照工作過程要求序化知識、能力和素質(zhì),是以工作過程為參照物,將陳述性知識與過程知識整合、理論知識與實踐知識整合,在陳述性知識總量沒有變化的情況下,增加經(jīng)驗以及策略方面的“過程性知識”3]。對典型工作任務(wù)進行歸納,確定行動領(lǐng)域。將本專業(yè)52個典型工作任務(wù)歸納為6個行動領(lǐng)域,即集成電路版圖設(shè)計、晶圓制造、集成電路芯片制造技術(shù)、芯片封裝、芯片測試、SMT技術(shù)。
2.確定典型工作任務(wù)。所謂典型工作任務(wù)是指一個復(fù)雜的職業(yè)活動中具有結(jié)構(gòu)完整的工作過程,它是職業(yè)工作中同類工作任務(wù)的歸類,能表現(xiàn)出職業(yè)工作的內(nèi)容和形式,并具有該職業(yè)的典型意義。我院召集企業(yè)專家和工作在一線的工程師、技術(shù)員,與學(xué)院的微電子技術(shù)專業(yè)教師一起,召開課程開發(fā)座談會,進行微電子技術(shù)課程體系開發(fā):以“集成電路(版圖)設(shè)計—晶圓制造—封裝測試—表面貼裝”工作過程為主線,與行業(yè)企業(yè)一線技術(shù)骨干、專家解析微電子技術(shù)專業(yè)崗位中版圖設(shè)計師、半導(dǎo)體芯片制造工、IC測試助理工程師、SMT工程師、FPGA助理工程師等典型崗位,得出行動領(lǐng)域所具有的專業(yè)素質(zhì)、知識與能力。
3.將行動領(lǐng)域轉(zhuǎn)化成學(xué)習(xí)領(lǐng)域。對完成典型工作任務(wù)必須具備的基本職業(yè)能力(包括社會能力、方法能力、專業(yè)能力)進行分析。通過歸納形成專業(yè)職業(yè)能力一覽表。這些職業(yè)能力就是學(xué)習(xí)領(lǐng)域(即課程)中學(xué)習(xí)目標制定的依據(jù)。打破原有16門專業(yè)理論課程和9門實踐課程組成的課程體系,按照以工作過程為導(dǎo)向,進行課程的解構(gòu)與重構(gòu),將6個行動領(lǐng)域轉(zhuǎn)換為9個學(xué)習(xí)領(lǐng)域,即集成電路版圖設(shè)計、集成電路芯片制造技術(shù)、微電子封裝與測試、表面貼裝工藝與實施、電子線路板實用技術(shù)、電子測量儀器使用與維護、語言、單片機應(yīng)用技術(shù)、FPGA應(yīng)用技術(shù)及實踐。根據(jù)微電子技術(shù)專業(yè)崗位群的職業(yè)能力和工作過程要求,重新構(gòu)建基于工作過程的課程體系。第一、二學(xué)期:電路分析、電子技術(shù)等基礎(chǔ)課程;第三、四、五學(xué)期:集成電路制造技術(shù)、電子測量儀器使用與維護、FPGA應(yīng)用開發(fā)實用技術(shù)、微電子封裝與測試、SMT技術(shù)、集成電路版圖設(shè)計等專業(yè)核心課程。
4.形成學(xué)習(xí)情境模式。學(xué)習(xí)情境是實施基于工作過程系統(tǒng)化的行動導(dǎo)向課程的教學(xué)設(shè)計,由教師根據(jù)學(xué)校教學(xué)計劃,結(jié)合學(xué)校的教學(xué)設(shè)施條件、教師執(zhí)教能力和專長,由教師按照“資訊、計劃、決策、實施、檢查、評估”的行動方式來組織教學(xué),從而促進學(xué)生對職業(yè)實踐的整體性把握4]。微電子技術(shù)專業(yè)核心課程形成的學(xué)習(xí)情境模式為:①集成電路版圖設(shè)計課程以任務(wù)為載體形成6個學(xué)習(xí)情境:N/PM0S晶體管版圖設(shè)計、反相器、與非門、或非門版圖設(shè)計、觸發(fā)器版圖設(shè)計、電壓取樣電路版圖設(shè)計、比較器版圖設(shè)計、DC-DC版圖設(shè)計;②集成電路芯片制造技術(shù)課程以設(shè)備為載體形成8個學(xué)習(xí)情境:集成電路芯片制造技術(shù)工藝流程、硅晶圓制程、硅晶薄膜制備、氧化工藝、摻雜技術(shù)、光刻工藝、刻蝕工藝、集成電路芯片品檢;③微電子封裝與測試課程以工藝為載體形成4個學(xué)習(xí)情境:DP封裝、BGA封裝、CSP封裝、MCM封裝;④表面貼裝工藝與實施課程以工藝流程為載體形成5個學(xué)習(xí)情境:SMT工藝流程的基本認知、表面貼裝生產(chǎn)準備、表面貼裝設(shè)備操作與編程、表面貼裝品質(zhì)控制、SMT生產(chǎn)線運行及工藝優(yōu)化5個學(xué)習(xí)情境;⑤電子線路板實用技術(shù)課程以項目為載體形成3個學(xué)習(xí)情境:單面板的制圖與制板、簡單雙面板的制圖與制板、復(fù)雜雙面板的制圖與制板;⑥電子測量儀器使用與維護課程以電路設(shè)備為載體形成9個學(xué)習(xí)情境:收音機元件準備、收音機電路測試、收音機電路工作狀態(tài)檢測、收音機整機調(diào)整、收音機裝調(diào)使用儀器的保養(yǎng)與維護、電視機元件檢測、電視機電路檢測、電視機的質(zhì)量檢查、電視機裝調(diào)使用儀器的保養(yǎng)與維護;⑦C語言課程以項目為載體形成6個學(xué)習(xí)情境:編程的基本概念、C語言上機步驟C語言上機步驟、算法的概念、基本數(shù)據(jù)類型、結(jié)構(gòu)化程序設(shè)計、函數(shù)的概念;⑧單片機技術(shù)及應(yīng)用課程以任務(wù)為載體形成6個學(xué)習(xí)情境““跑馬燈”電路分析與實踐、單片機做算術(shù)、邏輯運算并顯示、開關(guān)信號狀態(tài)讀取與顯示電路的制作、交通信號燈電路的設(shè)計與制作、產(chǎn)品數(shù)量統(tǒng)計電路的設(shè)計與制作、兩臺單片機數(shù)據(jù)互傳;⑨FPGA應(yīng)用技術(shù)及實踐課程以項目為載體形成6個學(xué)習(xí)情境:課程概述、基于QualusII的原理圖輸入設(shè)計、宏功能模塊應(yīng)用、基于QuarusII軟件的VHDL文本輸入設(shè)計、VHDL設(shè)計、實用狀態(tài)機設(shè)計。
三、試點實施效果分析
在教學(xué)實施上,重點是加強教師執(zhí)教能力:教師在教學(xué)中的角色應(yīng)由主宰者轉(zhuǎn)化為引導(dǎo)者。教師應(yīng)該主動地引導(dǎo)、疏導(dǎo)和指導(dǎo)學(xué)生,學(xué)生可以根據(jù)自己的興趣愛好,在教師的指導(dǎo)下,充分利用各種資源,相互協(xié)作開展對某一問題的學(xué)習(xí)探討,從而獲得新知識,得到探索的體驗及情感,促進能力全面發(fā)展。經(jīng)過我院近3年的教學(xué)實踐,課程教學(xué)效果得到顯著提高,學(xué)生專業(yè)核心能力、崗位適應(yīng)能力、社會能力顯著提高,“雙證書”提高到100%,專業(yè)對口率從原來的48%上升到92%,用人單位滿意度達90%以上。
高職院校在辦學(xué)過程中要形成特色鮮明的高職辦學(xué)模式,課程體系是重要的載體。辦學(xué)特色正是通過課
程體系的實施來實現(xiàn)的?;诠ぷ鬟^程系統(tǒng)化的課程體系,跟隨產(chǎn)業(yè)的發(fā)展,調(diào)整專業(yè)的課程設(shè)置,符合職業(yè)崗位要求,學(xué)生技能顯著提升,同時結(jié)合我院的辦學(xué)特色,努力探索基于工作過程的高職微電子技術(shù)專業(yè)課程體系的構(gòu)建思路和構(gòu)建策略。
參考文獻:
[1]姜大源.關(guān)于工作過程系統(tǒng)化課程結(jié)構(gòu)的理論基礎(chǔ)〇].職教通訊,2006,(1).
[2]余國慶職業(yè)教育項目課程的幾個關(guān)鍵問題ffl.中國職業(yè)技術(shù)教育,2007,(4).
[3]首珩,周虹基于工作過程的課程體系開發(fā)與實施m職教論壇,2008,(9).
[4]姜大源,吳全全當代德國職業(yè)教育主流教學(xué)思想研究[M].北京:清華大學(xué)出版社,2007.
篇6
在此,我們重點是討論集成電路芯片加工過程中的一些關(guān)鍵手藝。
集成電路基本工藝包括基片外延生長、掩模制造、曝光技術(shù)、刻蝕、氧化、擴散、離子注入、多晶硅淀積、金屬層形成。
關(guān)鍵詞:外延、掩膜、光刻、刻蝕、氧化、擴散、離子注入、淀積、金屬層
集成電路芯片加工工藝,雖然在進行IC設(shè)計時不需要直接參與集成電路的工藝流程,了解工藝的每一個細節(jié),但了解IC制造工藝的基本原理和過程,對IC設(shè)計是大有幫助的。
集成電路基本工藝包括基片外延生長掩模制造、曝光技術(shù)、刻蝕、氧化、擴散、離子注入、多晶硅淀積、金屬層形成。
下面我們分別對這些關(guān)鍵工藝做一些簡單的介紹。
一、外延工藝
外延工藝是60年代初發(fā)展起來的一種非常重要的技術(shù),盡管有些器件和IC可以直接做在未外延的基片上,但是未經(jīng)過外延生長的基片通常不具有制作期間和電路所需的性能。外延生長的目的是用同質(zhì)材料形成具有不同摻雜種類及濃度而具有不同性能的晶體層。常用的外延技術(shù)主要包括氣相、液相金屬有機物氣相和分子束外延等。其中,氣相外延層是利用硅的氣態(tài)化合物或液態(tài)化合物的蒸汽在襯底表面進行化學(xué)反應(yīng)生成單晶硅,即CUD單晶硅;液相外延則是由液相直接在襯底表面生長外延層的方法;金屬有機物氣相外延則是針對ⅢⅤ族材料,將所需要生長的ⅢⅤ族元素的源材料以氣體混合物的形式進入反應(yīng)器中加熱的生長區(qū),在那里進行熱分解與沉淀反映,而分子束外延則是在超高真空條件下,由一種或幾種原子或分子束蒸發(fā)到襯底表面形成外延層的方法。
二、掩模板的制造
掩模板可分成整版及單片版兩種,整版按統(tǒng)一的放大率印制,因此稱為1×掩模,在一次曝光中,對應(yīng)著一個芯片陳列的所有電路的圖形都被映射到基片的光刻膠上。單片版通常八九、實際電路放大5或10倍,故稱作5×或10×掩模,其圖案僅對應(yīng)著基片上芯片陳列中的單元。
早期掩模制作的方法:①首先進行初縮,把版圖分層畫在紙上,用照相機拍照,而后縮小為原來的10%~%20的精細底片;②將初縮版裝入步進重復(fù)照相機,進一步縮小,一步一幅印到鉻片上,形成一個陣列。
制作掩模常用的方法還包括:圖案發(fā)生器方法、x射線制版、電子束掃描法。
其中x射線、電子束掃描都可以用來制作分辨率較高的掩模版。
三、光刻技術(shù)
光刻是集成電路工藝中的一種重要加工技術(shù),在光刻過程中用到的主要材料為光刻膠。光刻膠又稱為光致抗蝕劑,有正膠、負膠之分。其中,正膠曝光前不溶而曝光后可溶,負膠曝光前可溶而曝光后不可溶。
光刻的步驟:①晶圓涂光刻膠;②曝光;③顯影;④烘干
常見的光刻方法:①接觸式光刻;②接近式光刻;③投影式光刻
其中,接觸式光刻可得到比較高的分辨率,但容易損傷掩模版和光刻膠膜;接近式光刻,則大大減少了對掩模版的損傷,但分辨率降低;投影式光刻,減少掩模版的磨損也有效提高光刻的分辨率。
四、刻蝕技術(shù)
經(jīng)過光刻后在光刻膠上得到的圖形并不是器件的最終組成部分,光刻只是在光刻膠上形成臨時圖形,為了得到集成電路真正需要的圖形,必須將光刻膠上的圖形轉(zhuǎn)移到硅膠上,完成這種圖形轉(zhuǎn)換的方法之一就是將未被光刻膠掩蔽的部分通過選擇性腐蝕去掉。
常用的刻蝕方法有:濕法腐蝕、干法腐蝕。
濕法腐蝕:首先要用適當?shù)娜芤航櫩涛g面,溶液中包含有可以分解表面薄層的反應(yīng)物,其主要優(yōu)點是選擇性好、重復(fù)性好、生產(chǎn)效率高、設(shè)備簡單、成本低。存在的問題有鉆蝕嚴重、對圖形的控制性較差、被分解的材料在反應(yīng)區(qū)不能有效清除。
干法刻蝕:使用等離子體對薄膜線條進行刻蝕的一種新技術(shù),按反應(yīng)機理可分為等離子刻蝕、反應(yīng)離子刻蝕、磁增強反應(yīng)例子刻蝕和高密度等離子刻蝕等類型,是大規(guī)模和超大規(guī)模集成電路工藝中不可缺少的工藝設(shè)備。干法刻蝕具有良好的方向性。
五、氧化
在集成電路工藝中常用的制備氧化層的方法有:①干氧氧化;②水蒸氣氧化;③濕氧氧化。
干氧氧化:高溫下氧與硅反應(yīng)生成sio2的氧化方法;
水蒸氣氧化:高溫下水蒸氣與硅發(fā)生反應(yīng)的氧化方法;
濕氧氧化:氧化首先通過盛有95%c左右去離子睡的石英瓶,將水汽帶入氧化爐內(nèi),再在高溫下與硅反映的氧化方法。
影響硅表面氧化速率的三個關(guān)鍵因素:溫度、氧化劑的有效性、硅層的表面勢。
六、擴散與離子注入
擴散工藝通常包括兩個步驟:即在恒定表面濃度條件下的預(yù)淀積和在雜志總量不變的情況下的再分布。預(yù)淀積只是將一定數(shù)量的雜質(zhì)引入硅晶片表面,而最終的結(jié)深和雜質(zhì)分布則由再分布過程決定。
常見的擴散方法主要有固態(tài)源擴散和氣態(tài)源擴散等。
離子注入是將具有很高能量的帶點雜質(zhì)離子射入半導(dǎo)體襯底中的摻雜技術(shù),它的摻雜深度由注入雜質(zhì)離子的能量、雜質(zhì)離子的質(zhì)量決定,摻雜濃度由注入雜質(zhì)離子的劑量決定。高能離子射入靶后,不斷與襯底中的原子以及核外電子碰撞,能量逐步損失,最后停止下來。
離子注入法于20世紀50年代開始研究,20世紀70年代進入工業(yè)應(yīng)用階段。隨著VLSI超精細加工技術(shù)的發(fā)展,現(xiàn)已成為各種半導(dǎo)體摻雜和注入隔離的主流技術(shù)。在離子注入后,由于會在襯底中形成損傷,而且大部分注入的離子又不是以替位的形式位于晶格上,為了激活注入到襯底中的雜質(zhì)離子,并消除半導(dǎo)體襯底中的損傷,需要對離子注入后的硅片進行退火。
退火,也叫熱處理,作用是消除材料中的應(yīng)力或改變材料中的組織結(jié)構(gòu),以達到改善機械強度或硬度的目的。
七、淀積
器件的制造需要各種材料的淀積,這些材料包括多晶硅、隔離互連層的絕緣材料和作為互連的金屬層。
在厚絕緣層上生長多晶硅的一個常用方法是“化學(xué)氣相淀積”(CVD),這種方法是將晶片放到一個充滿某種氣體的擴散爐中,通過氣體的化學(xué)反應(yīng)生成所需要的材料。
以上簡單介紹了集成電路的基本工藝,當然,這些只是關(guān)鍵的幾個工藝,集成電路的工藝還有很多,在這里就不一一說明了。
參考文獻:
[1] 李冰,集成電路CAD與實踐,電子工業(yè)出版社
[2] 王志功、陳瑩梅,集成電路設(shè)計(第二版),電子工業(yè)出版社
[3] 張興、黃如、劉曉彥,微電子學(xué)概論(第二版),北京大學(xué)出版社
篇7
【關(guān)鍵詞】可編程控制器;空氣壓縮機;溫度采集;數(shù)據(jù)傳輸
空氣壓縮機是礦山生產(chǎn)重要的四大固定設(shè)備之一,空壓機的風包是受壓容器,《煤礦安全規(guī)程》規(guī)定:“風包內(nèi)的溫度應(yīng)保持在120℃以下,并裝有超溫保護裝置,在超溫時可自動切斷電源和報警。”我礦原來使用的超溫報警裝置為WMZK-02型溫度指示控制儀,經(jīng)常性發(fā)生誤報及無溫度指示的情況。為提高風包溫度監(jiān)控的可靠性及職能化水平,對風包溫度監(jiān)控系統(tǒng)進行重新改造設(shè)計。
1 系統(tǒng)結(jié)構(gòu)
系統(tǒng)由三菱Fx-2N系列PLC、三菱模擬量模塊FX2N-4DA、溫度采集模塊R-8034、開關(guān)電源、數(shù)字顯示電路、指示及顯示設(shè)定電路、溫度傳感器熱電阻等構(gòu)成。
系統(tǒng)以PLC作為主控器,通過RS485與溫度采集模塊R-8033進行通信,把采集到的各風包溫度傳輸?shù)街骺仄鳌T赑LC內(nèi)部通過與設(shè)定好的上限溫度進行比較,進而作出是否超溫的判斷,以作出是否發(fā)出停機的命令。同時設(shè)定顯示電路把指定的溫度顯示在數(shù)字顯示屏上。每天進行實驗時可以通過,實驗按鈕進行風包超溫實驗。同時本系統(tǒng)留有數(shù)據(jù)上傳接口可通過PLC的RS422接口把溫度及報警信息上傳上位機。
2 硬件電路設(shè)計
2.1 可編程控制器(PLC)的優(yōu)點
1)編程方法簡單易用。
2)功能強,性能價格比高。
3)硬件配套齊全,用戶使用方便。
4)無觸點免配線,抗干擾能力強。
5)系統(tǒng)的設(shè)計、安裝、調(diào)試量少。
6)維修工作量少,維修方便。
7)體積小,能耗低。
2.2 溫度采集模塊的應(yīng)用
R-8033是38路模擬量熱電阻輸入模塊。分辨率為24位,熱電阻類型可設(shè)定為PT100、Cu50、Cu100,本設(shè)計選用PT100。
由于R-8033溫度采集模塊采用RS-485通訊方式,可遠距離通訊,系統(tǒng)所有模塊只需要用一條通訊線進行連接,具有高通訊速率,高采樣分辨率,智能化、光電隔離、強抗干擾和雙看門狗設(shè)計,使系統(tǒng)的可靠性增強,數(shù)據(jù)高速I/O成為可能,軟件開發(fā)也較為容易。
系統(tǒng)可根據(jù)測量的溫度點數(shù)任意增減模塊數(shù)量,配置靈活,端子可插拔,采用導(dǎo)軌式安裝,施工維護及其方便。
2.3 可編程控制器模擬模塊FX2N-4DA
模擬量輸出模塊(D/A模塊)是將PLC處理后的數(shù)字信號轉(zhuǎn)換成相應(yīng)的模擬信號輸出,以滿足生產(chǎn)過程現(xiàn)場連續(xù)控制信號的需求。模擬信號輸出接口一般由光電隔離、D/A轉(zhuǎn)換、信號驅(qū)動等環(huán)節(jié)組成。FX2N-4DA是一種4通道模擬量輸出模塊。在本設(shè)計中通過PLC控制FX2N-4DA輸出相應(yīng)的模擬量輸出到數(shù)字顯示電路,以顯示相應(yīng)的溫度。
2.4 數(shù)字顯示電路的設(shè)計
基于ICL7107數(shù)字電壓表的設(shè)計的設(shè)計,ICL7107是目前廣泛應(yīng)用于數(shù)字測量系統(tǒng)是一種集三位半轉(zhuǎn)換器段驅(qū)動器位驅(qū)動器于一體的大規(guī)模集成電路,ICL7107是目前廣泛應(yīng)用于數(shù)字測量系統(tǒng)的一種31/2位A/D轉(zhuǎn)換器,能夠直接驅(qū)動共陽極數(shù)字顯示器,夠成數(shù)字電壓表,此電路簡潔完整,ICL7107是目前廣泛應(yīng)用于數(shù)字測量系統(tǒng)是一種集三位半轉(zhuǎn)換器段驅(qū)動器、位驅(qū)動器于一體的大規(guī)模集成電路,主要用于對不同電壓的測量和許多工程上的應(yīng)用,調(diào)頻接口電路,它采用的是雙積分原理完成A/D轉(zhuǎn)換,全部轉(zhuǎn)換電路用CMOS大規(guī)模集成電路設(shè)計。應(yīng)用了ICL7107芯片數(shù)碼管顯示器等,芯片第一腳是供電,正確電壓時DC5V,連接好電源把所需要測量的物品連接在表的兩個端口,可在顯示器看到所需要結(jié)果。
主要有ICL7107和共陽極半導(dǎo)體數(shù)碼管LED組成。特點是:能夠直接驅(qū)動共陽極的LED顯示器,不需要外加驅(qū)動原件,使整機線路簡化;采用+5V和-5V兩組電源供電;LED屬于電池控制原件,芯片本身功耗較小;顯示亮度較高。
3 傳感器的選擇及安裝
3.1 溫度傳感器選擇
鉑電阻溫度傳感器是利用其電阻和溫度成一定函數(shù)關(guān)系而制成的溫度傳感器,由于其測量準確度高,測量范圍大,復(fù)現(xiàn)性和穩(wěn)定性好,被廣泛應(yīng)用于中溫(-200℃-650℃)范圍的溫度測量中。PT100是一種廣泛應(yīng)用的測溫元件,在-50℃-600℃范圍內(nèi)具有其他任何傳感器無可比擬的優(yōu)勢,包括高精度,穩(wěn)定性好,抗干擾能力強等。
3.2 溫度傳感器安裝及其位置選擇
插入管的內(nèi)半徑是根據(jù)所選擇的溫度傳感元件而定的。一般可直接選購-200―600℃的PT100傳感器。溫度傳感器和溫度傳感器套管通過螺紋連接,接觸面通過耐溫密封圈密封,安裝在風包上預(yù)留的壓力表位置,從而避免了一切傷害風包的因素。插入管的壁厚與風包的厚壁之比直接影響到可靠性。當插入管厚壁大于或等于容器壁時,在開孔直徑相同的情況下,開孔邊緣的最大應(yīng)力與殼體的基本應(yīng)力之比最小,亦應(yīng)力集中系數(shù)最小。所以,應(yīng)盡可能選用厚壁較厚的鋼管。
4 系統(tǒng)程序設(shè)計
4.1 系統(tǒng)控制程序的設(shè)計
設(shè)計PLC控制系統(tǒng)的一般步驟:
1)根據(jù)生產(chǎn)的工藝過程分析控制要求;
2)根據(jù)控制要求確定所需的用戶輸入、輸出設(shè)備。據(jù)此確定PLC的I/O點數(shù);
3)選擇PLC;
4)分配PLC的I/O點,設(shè)計I/O連接圖。這一步可結(jié)合第2步進行;
5)進行PLC程序設(shè)計,同時可進行控制臺的設(shè)計和現(xiàn)場施工。
4.2 系統(tǒng)通信程序設(shè)計
PLC通過其RS-485接口與溫度采集模塊R-8033相連,當R-8033接收到PLC命令后,將其轉(zhuǎn)化為采集模塊的操作命令,并將執(zhí)行的結(jié)果打包回傳給PLC,作為PLC控制的依據(jù)。要實現(xiàn)PLC對R-8033的通訊控制,必須對PLC進行編程;通過程序?qū)崿F(xiàn)PLC對采集模塊數(shù)據(jù)的采集。PLC程序首先應(yīng)完成FX2N-485BD通訊適配器的初始化、控制命令字的組合、代碼轉(zhuǎn)換和R-8033應(yīng)答數(shù)據(jù)的處理工作。R-8033通訊命令結(jié)構(gòu):
命令格式:(Leading)(Address)(Coommand)(CHK)(cr)
響應(yīng)格式:(Leading)(Address)(Data)(CHK)(cr)
[CHK]2字符檢驗
[cr]命令結(jié)束符,字符返回(0x0D)
通過在PLC內(nèi)編制格式的命令程序以實現(xiàn)數(shù)據(jù)的寫入與讀出。
5 結(jié)語
本設(shè)計主要用應(yīng)用PLC來監(jiān)控空氣壓縮機風包溫度,實時觀測系統(tǒng)的運行狀況,以便用戶隨時了解系統(tǒng)信息。整個系統(tǒng)自動化水平比較高采用PLC控制和數(shù)據(jù)通訊技術(shù)監(jiān)控大大減少了人力物力,對于溫度的變化能很快的做出反應(yīng),輸出報警,停止空壓機運行。
篇8
關(guān)鍵詞:FPGA;VHDL;功能仿真;綜合;布局布線
中圖分類號:TM13 文獻標識碼:A 文章編號:1007-9416(2017)02-0111-03
1 引言
當前的FPGA設(shè)計流程一般都是通過EDA[1]開發(fā)軟件和編程工具對FPGA芯片進行開發(fā),開發(fā)流程通常包括電路設(shè)計與輸入、功能仿真、綜合優(yōu)化、綜合后仿真、實現(xiàn)與布局布線、時序仿真、板級仿真與驗證、調(diào)試與加載配置等主要步驟[2]。其中每個步驟所采用的EDA工具都不盡相同。
由于功能仿真的工具和綜合布局布線的工具不同,本文從一個功能仿真與綜合布局布線結(jié)果不一致的例子引出了對VHDL代碼中可能引起功能仿真結(jié)果不正確的原因的分析。
2 功能仿真、綜合、布局布線的基本目的和常用工具
功能仿真又叫邏輯仿真,是指在不考慮器件延時和布線延時的理想情況下對源代碼進行邏輯功能的驗證[3]。功能仿真的主要目的是確定一個設(shè)計是否實現(xiàn)了預(yù)定的功能或設(shè)計意圖,分析電路的邏輯關(guān)系的正確性[4],由于仿真速度快,可以根據(jù)需要觀察電路輸入輸出端口和電路內(nèi)部任意信號和寄存器的波形,因此功能仿真是設(shè)計過程中不可缺少的步驟。目前功能仿真常用的EDA工具為Mentor公司Modelsim/QuestaSim、Sysnopsys公司的VCS和Cadence公司的NC等軟件。
綜合是將RTL級的行為描述轉(zhuǎn)化為使用門級單元的結(jié)構(gòu)描述[5]即網(wǎng)表。網(wǎng)表文件主要記錄的是所用工藝庫門級單元之間的互連關(guān)系(即門級結(jié)構(gòu))。而布局布線則是對網(wǎng)表中的每一個門級單元在器件中定位(布局) , 并使用器件內(nèi)的連線資源按照網(wǎng)表中的連接關(guān)系連接起來(布線)的操作[4]。因為綜合和布局布線將軟件設(shè)計與硬件的可實現(xiàn)性掛鉤,是將軟件轉(zhuǎn)化為硬件電路的關(guān)鍵步驟[6]。由于綜合和布局布線與FPGA底層資源關(guān)系緊密,根據(jù)采用的芯片不同,一般設(shè)計人員采用各個FPGA廠家自己推出的綜合開發(fā)工具。
3 功能仿真結(jié)果與實際功能不一致案例
在對某個采用VHDL編寫的FPGA設(shè)計進行功能仿真和硬件調(diào)試中發(fā)現(xiàn)兩者結(jié)果不一致的情況。分析結(jié)果發(fā)現(xiàn)硬件功能與設(shè)計意圖一致,而功能仿真發(fā)生錯誤。設(shè)計代碼可簡化如圖1。
根據(jù)代碼分析設(shè)計意圖為:時鐘信號CLKA在該設(shè)計中進行了被賦值給了sys_clk信號,即將信號CLKA重命名為sys_clk,用重命名后的時鐘信號sys_clk對Gate信號進行延遲1個時鐘周期產(chǎn)生信號Gate_r。而使用VCS仿真結(jié)果如圖2所示。
用sys_clk信號對時鐘CLKA信號產(chǎn)生的Gate信號進行延遲時,仿真器顯示Gate_r與Gate信號波形一致;同時將Gate信號進行賦值,則Gate_wr信號為Gate_w延遲1個時鐘,與設(shè)計意圖不一致。
4 不一致原因分析
分析代碼發(fā)現(xiàn),由于在設(shè)計中對時鐘信號進行了賦值(重命名),導(dǎo)致該時鐘產(chǎn)生的信號用賦值后的時鐘進行延時處理時,第一個節(jié)拍的延遲未起作用,仿真的信號比實際信號在該處提前一個時鐘周期跳變,仿真功能與實際設(shè)計不一致。
我們試用Modelsim仿真發(fā)現(xiàn),仿真結(jié)果與VCS仿真結(jié)果一致(圖3)。根據(jù)VHDL信號語法特性結(jié)合仿真器工作原理分析如下:
(1)在VHDL中,在進程(process)外的信號賦值有一定的延時[7],在仿真是對應(yīng)于在等式右邊的信號值跳變后的delta時間后;
(2)在進程(process)內(nèi)信號的賦值在進程結(jié)束時起作用[8];
(3)仿真中,時鐘上升沿判斷后也會產(chǎn)生一個delta時間;
(4)仿真中,進程(process)內(nèi)信號的時鐘邊沿賦值是將時鐘信號跳變時刻等式右側(cè)的信號值在時鐘跳變后的delta時間賦值給左側(cè)的被賦值信號;
打開仿真器的List信號分析信號跳變過程(圖4),針對圖2的設(shè)計代碼分析如下,
(1)CLKA的跳變產(chǎn)生了第一個delta時間(420ns + 1);
(2)由于代碼中的賦值語句“sys_clk
(3)而process 中的時鐘邊沿賦值Gate
(4)對于process 中的時鐘邊沿賦值Gate_r
盡管Gate_r的跳變晚于Gate,但僅晚了一個delta時間,不占用仿真時間,所以導(dǎo)致了從波形仿真Y果看Gate_r與Gate同時跳變的現(xiàn)象。
而對以上代碼使用芯片廠商開發(fā)工具進行綜合和布局布線,很顯然,Gate_r在時鐘sys_clk的賦值將被綜合成觸發(fā)器(如圖5),sys_clk的賦值(重命名)將被綜合成線連接,sys_clk等同于CLKA。從硬件實現(xiàn)看,Gate_r實際布局布線后將晚于Gate一個時鐘周期而不是一個仿真用的delta時間。因此,功能仿真結(jié)果和布局布線后實際功能不一致。
5 糾正措施分析
根據(jù)上面的機理分析,對于本段代碼,以下兩個方法均可以使得功能仿真與設(shè)計一致:
方法一:將設(shè)計中的Gate_r的賦值條件由sys_clk改為CLKA時,仿真結(jié)果與預(yù)期結(jié)果一致,再次觀察Modelsim信List,可見由于少了時鐘賦值語句,420ns處未出現(xiàn)420 +3時刻,Gate_r的賦值發(fā)生在時鐘信號CLK從0跳變?yōu)?且Gate=1(460ns + 1)時刻后的delta時間(460ns +2),功能與設(shè)計一致。
方法二:對Gate信號也同時進行一次賦值產(chǎn)生Gate_w信號,在process中依然將該信號用sys_clk上升沿采樣賦值,則Gate_wr信號為Gate信號延遲一個時鐘周期。仿真波形如圖5所示,觀察仿真器的信號List,可以發(fā)現(xiàn),仿真過程和上一種改法是不一致的。仿真器依然產(chǎn)生了420ns +3時刻,但由于Gate_w信號的跳變在420 +3時刻發(fā)生,Gate_wr信號的跳變依然晚于Gate信號一個時鐘周期,在460 +3時跳變,因此仿真結(jié)果與設(shè)計實際要求一致。
6 結(jié)語
根據(jù)VHDL中對于信號賦值的延時特性,仿真器的原理嚴格按照VHDL語法進行,而廠商的綜合布局布線工具卻更加考慮到底層電路的特性。因此在基于VHDL的FPGA設(shè)計中,對時鐘信號的賦值在因為VHDL語法種信號賦值特性的原因可能會造成仿真器的解析與期望不一致的情況。經(jīng)測試,這種解析方式為仿真器EDA廠商的一致做法,我們利用手上多個版本的VCS和Modelsim均無法避免這種現(xiàn)象的發(fā)生。同時,由于verilog語法中,進程外的信號賦值僅相當于重命名操作,不存在延時,故此類問題在verilog的仿真中不會出現(xiàn)。
對于FPGA設(shè)計人員,在VHDL設(shè)計中應(yīng)特別注意避免對時鐘信號的賦值操作,以免出現(xiàn)為了功能仿真的結(jié)果正確性改動代碼后導(dǎo)致實際功能錯誤的情況。
在FPGA的驗證工作中,由于沒有有效的自動檢測手段,在分析VHDL代碼時,驗證人員也應(yīng)重點注意設(shè)計代碼中有沒有對時鐘賦值操作,以及該操作會不會影響功能仿真結(jié)果,避免漏測問題或者誤測問題,必要時進行綜合后仿真或布局布線后仿真對功能仿真結(jié)果進行確認。
參考文獻
[1]梁麗.EDA技術(shù)在現(xiàn)代電子設(shè)計中的應(yīng)用研[J].科技創(chuàng)新與應(yīng)用,2016(35),66-67.
[2]蔣昊,李哲英.基于多種EDA工具的FPGA設(shè)計流程[J].微計算機信息,2007,23(11-2):201-203.
[3]戚新宇.基于FPGA 設(shè)計的功能仿真和時序仿真[J].航空電子技術(shù),2005,36(03):51-54.
[4]程耀林.FPGA的系統(tǒng)設(shè)計方法解析[J].電子技術(shù),2005(19):91-93.
[5]楊之廉,申明.超大規(guī)模集成電路設(shè)計方法學(xué)導(dǎo)論[M].北京:清華大學(xué)出版社,2000.
[6]潘松.電子設(shè)計自動化(EDA)技術(shù)及其應(yīng)用(一)[J].電子與自動化,2000(1):52-55.
篇9
關(guān)鍵詞:PIC單片機 低功耗設(shè)計 電話遠程控制器 待機
引言
20世紀90年代以來,隨著集成電路特征線寬的持續(xù)縮小以及芯片密度和工作頻率的相應(yīng)增加,降低功耗已經(jīng)成為亞微米和深亞微米超大規(guī)模集成電路設(shè)計中的一個主要考慮因素。功耗的增加會帶來一系列問題,例如電路參數(shù)漂移、可靠性下降、芯片封裝成本增加等。因此,系統(tǒng)的功耗在整個系統(tǒng)設(shè)計中,尤其是在采用電池供電的系統(tǒng)中顯得十分重要。
Microchip公司PIC系列的單片機為設(shè)計高性能、低功耗的單片機系統(tǒng)提供了很好的解決方案。下面從低功耗設(shè)計方法及具體例子來介紹PIC單片機低功耗應(yīng)用。
1 低功耗設(shè)計方法
為使系統(tǒng)工作在低功耗狀態(tài),必須正確設(shè)置單片機的配置及工作方式。下面結(jié)合最常用的PIC12、PIC16等單片機介紹低功耗系統(tǒng)的設(shè)計方法。
1.1 基本設(shè)計方法
有許多技術(shù)可以降低系統(tǒng)的功耗,最常用的是Sleep模式。程序執(zhí)行一條SLEEP指令,便進入了休眠(Sleep)模式。要Sleep模式下,晶振停止振蕩,而此時單片機在3V電源條件下,只有1μA的電流。系統(tǒng)工作時,單片機可以采用看門狗或外部事件周期性地喚醒單片機,利用電子開關(guān)為系統(tǒng)提供電源,以減少系統(tǒng)待機功耗,延長電池使用時間。
單片機的工作頻率和功耗的關(guān)系也很大,頻率越高,功耗越大。在采用32kHz晶振、3V工作電壓時,PIC12、PIC16等系列單片機的典型工作電流只有15μA;而采用4MHz晶振、5V工作電壓時,單片機的典型工作電流達到幾mA。在許多低功耗的場合,采用低速晶振實現(xiàn)低功耗非常有效。如果單片機采用RC振蕩,還可以通過I/O口的操作改變振蕩電阻,從而改變單片機工作頻率,達到節(jié)能的目的。如圖1所示,1個I/O引腳可以在等待狀態(tài)下將并聯(lián)電阻R1去掉,降低單片機工作頻率。當單片機需要工作時,可將I/O引腳設(shè)置為輸出并輸出高電平,從而提高振蕩頻率。
1.2 振蕩電路設(shè)計
在單片機系統(tǒng)設(shè)計中,振蕩電路的設(shè)計是十分重要的一個環(huán)節(jié)。PIC系列單片機的典型振蕩電路如圖2所示。
一般情況下,設(shè)計人員按照廠家給出的參數(shù)表進行選擇。如果系統(tǒng)能夠正常工作,也就不再進行改進了。其實,這是不合適的。因為Microchip的單片機根據(jù)型號和版本的不同,工作電壓在直流2.5~5.5V的范圍內(nèi),汽車級溫度可以在-40~-125℃范圍內(nèi),而參數(shù)表中只給出了有限的幾種情況,實際環(huán)境參數(shù)會對振蕩電路的性能產(chǎn)生很大的影響。如高溫、低電壓可減小振蕩環(huán)路增益,而從降低振蕩頻率或者難以啟動;低溫、高電壓可以使環(huán)路增益變大,從而使晶振過驅(qū)動,產(chǎn)生損壞的潛在危險或者振蕩電路工作的高次諧波頻率上升,加大系統(tǒng)功耗。因此,如何正確設(shè)計系統(tǒng)的振蕩電路十分必要。對于PIC系列單片機,一般的設(shè)計步驟如下:
①選擇晶振。根據(jù)系統(tǒng)需要的振蕩頻率進行晶振的選擇。此外,晶振的工作溫度和頻率穩(wěn)定度也是十分重要的指標。
②選擇振蕩器類型。PIC系列單片機有RC、LP、XT、HS等振蕩模式。除RC模式外,振蕩模式的選擇實際上就是環(huán)路增益的選擇。低增益對應(yīng)低振蕩頻率,高增益對應(yīng)高振蕩頻率。一般根據(jù)實際需要的工作頻率可參考數(shù)據(jù)手冊來選擇。
③選擇C1、C2。理想的情況是,保證系統(tǒng)在高溫和最低工作電壓下能夠正常工作,使得電容在數(shù)據(jù)手冊推薦的范圍內(nèi)最小。同時選擇C2比C1大一些以加大相移,使其有利于振蕩電路的上電啟動。
④選擇Rs。在以上參數(shù)都已經(jīng)選定后需要決定Rs的大小。簡單的辦法是讓系統(tǒng)工作在最低溫度和最大電壓情況下,此時得到的應(yīng)該是時鐘電路最大輸出幅度。用示波器觀察引腳OSC2的輸出波形(注意,示波器的探頭將給電路引入一個電容,一般為幾pF),如果發(fā)現(xiàn)正弦波的峰(接收Vdd處)和谷(接收Vss處)被削平或壓扁,說明驅(qū)動過載,需要在OSC2和C2間加入1個電阻Rs,一般1kΩ左右或小于1kΩ。Rs不宜過大,過大將使得輸入和輸出產(chǎn)生隔離,從而產(chǎn)生較大的噪聲。當發(fā)現(xiàn)需要一個較大的Rs才能消除過驅(qū)動時,可以增加負載電容C2來補償。C2一般選擇在15~33pF之間。
系統(tǒng)振蕩電路的設(shè)計對系統(tǒng)的穩(wěn)定性、功耗等影響很大。一般情況下,系統(tǒng)從Sleep狀態(tài)下喚醒時,振蕩電路最難啟動(尤其系統(tǒng)工作在高溫、低壓、低頻的情況下)。此時,電阻Rs有利于振蕩電路的啟動,因為廉價的碳膜電阻容易產(chǎn)生白噪聲,從而幫助電路起振。此外,選擇C2稍大于C1以增大相移,也有利于電路起振。
2 具體應(yīng)用例子
2.1 系統(tǒng)組成及框圖
系統(tǒng)主要由PIC單片機、雙音頻解碼拔號電路、語音集成電路、接口電路、Vcc電源控制電路、射頻發(fā)射電路和EEPROM組成,可完成對家用電器的控制和對報警求援語音信息的自動傳送,如圖3所示。
2.2 控制器工作方式
*當與控制器相串聞的電話機(以下稱為本地機)處于摘機時,電話線輸入電壓發(fā)生變化,引起CD40106的2腳輸出電平變化,輸入到CPU的RB0端口產(chǎn)生中斷信號,喚醒CPU,控制器進入工作狀態(tài)。通過本地機的拔號盤對控制器的各種功能進行控制。如控制電視、音響、照明燈等電器電源的開關(guān)。
*當控制器接收到振鈴信號時,CD40106的4腳輸出電平變化,輸入CPU的RB6端口產(chǎn)生中斷信號,喚醒CPU進入工作狀態(tài),并對振鈴信號進行計數(shù);達到設(shè)定鈴聲數(shù)后,使控制器進入電話接收狀態(tài),開始接收遠程傳輸DTMF信號,經(jīng)MT8880解調(diào)得到的信號通過IRQ向單片機發(fā)出中斷信號,將數(shù)據(jù)存入寄存器,經(jīng)CPU運行,對控制器的各種功能進行控制。
*當控制器作為報警器,并處于警備狀態(tài)時,報警探頭時刻檢測防范區(qū)域的情況;當探頭向控制器發(fā)出警情信息,輸入CPU的RB5中斷產(chǎn)生信號,控制器進入工作狀態(tài),從EEPROM芯片讀出預(yù)先設(shè)置的報警電話號碼,經(jīng)MT8880轉(zhuǎn)換為DTMF信號,自動撥號,以語音形式將信息傳送給用戶或直接報警。
2.3 應(yīng)用電路
(1)電話接口電路
電話機與控制器采用控制器在前,電話機在后的串聯(lián)方式,可實現(xiàn)電話機對控制器各種功能的控制。接口電路由過壓保護電路、極性轉(zhuǎn)換電路和中斷請求電路組成,如圖4所示。
①過壓保護電路。在電話線回路上加入了一個壓敏電阻R,它的作用是當它兩端的電壓大于其工作電壓時呈短路狀態(tài),從而保護后級電路免受高壓危害。當加到它的兩端的電壓小于其工作電壓,壓敏電阻呈開路狀態(tài),對后級電路的工作沒有任何影響。在本設(shè)計中,壓敏電阻的工作電壓為220V。
②極性轉(zhuǎn)換電路。由于在電話線上傳輸?shù)氖墙涣餍盘?,為了使信號的極性固定,在電路中加入電橋,進行全波整流。
③中斷請求電路。為延長電池工作時間,CPU在待機時處于休眠的省電狀態(tài),在實現(xiàn)遠程電話機和本地機對控制器功能控制時,由中斷請求電路將CPU喚醒。
(2)語音電路
語音電路如圖5所示。它以ISD4000語音芯片為核心,主要是存儲報警語音,輸出經(jīng)功率放大后傳送到電話線上。
(3)MT8880與PIC單片機的接口電路
MT8880是Mitel公司的DTMF收發(fā)器,具有功能強、功耗低、工作穩(wěn)定、可靠等性高優(yōu)點,因此在DTMF信號調(diào)制的場合得到了廣泛的應(yīng)用。MT8880與PIC單片機的接口電路如圖6所示。
①MT8880與單片機的接口電路。D0~D3為4根數(shù)據(jù)線,MT8880對經(jīng)過前置處理的DTMF信號進行解調(diào),將解調(diào)得的信號存入片內(nèi)寄存器中,并通過IRQ向單片機發(fā)出中斷信號。單片機相應(yīng)中斷請求后,在R、W、RS0、RD的控制下,通過D0~D3讀出解調(diào)出的數(shù)據(jù)。
②DTMF信號放大電路。當微處理器將要發(fā)送的DTMF數(shù)據(jù)寫入MT8880相應(yīng)的寄存器時,MT8880從TONE引腳發(fā)出DTMF信號,信號經(jīng)過放大電路放大后,送往變壓器進行電壓變化。
3 程序設(shè)計
程序在運行時:①為電器控制器,若是遠程電話機對控制器進行操作,是根據(jù)電話的振鈴聲數(shù)來判斷;若本地電話機對控制器進行操作,是根據(jù)本地電話機的摘機情況來判斷。②為報警器,報警探頭隨時檢測到警情并發(fā)出信號給控制器,而控制器則是根據(jù)用戶的設(shè)置情況,是處于警備與否來判斷是否拔出報警電話。圖7是程序流程圖。轉(zhuǎn)貼于
下面主要介紹初始化程序、MT8880設(shè)置子程序和DTMF信號發(fā)送以及接收子程序。
;初始化寄存器
F0 EQU 0H
RTCC EQU 01H
PC EQU 02H
STATUS EQU 03H
FSR EQU 04H
RA EQU 05H
RB EQU 06H
RC EQU 07H
RD EQU 08H
RE EQU 09H
TRISA EQU 85H
TRISB EQU 86H
TRISC EQU 87H
TRISD EQU 88H
TRISE EQU 89H
DAIFS EQU 0X50;待發(fā)送的數(shù)據(jù)放在50開始的區(qū)域
RECE EQU 0X21
SEND EQU 0X22
DAIJS EQU 0X40 ;數(shù)據(jù)暫存在從40H開始的區(qū)域
;MT8880設(shè)置子程序
;置MT8880為DTMF接收狀態(tài)
R8880:MOVLW0X0D
MOVWF REVE ;寫控制寄存器A(接收模式)
MOVLW 0X00
MOVWF REVE ;寫控制寄存器B(突發(fā)模式)
REIURN
;置MT8880為DTMF接收狀態(tài)
T8880:MOVLW 0X0F
MOVWF SEND;寫控制寄存器A(發(fā)送模式)
MOVLW 0X00
MOVWF SEND;寫控制寄存器B(突發(fā)模式)
RETURN
;DTMF發(fā)送子程序
TELEPHON:CALL T8880 ;設(shè)置為發(fā)送模式
LOOP:MOVF DAIFS,0 ;拔號
MOVLW SEND
INCF DAIFS,1 ;檢查是否發(fā)送結(jié)束
LL1:BTFSS SEND,1
GOTO LL1 ;已經(jīng)發(fā)送完畢
CALL DELAY200 ;延時200ms
DECF DATALENGTH
GOTO LOOP ;發(fā)送完畢
RETURN
;DTMF接收子程序
RECEIVE:CALL R8880 ;設(shè)置為接收模式
RWT:MOVF DJIJS,0
MOVLW REVE
BTFSS REVE,2
GOTO RWT ;查詢是否收到數(shù)據(jù)
MOVLW REVE
ANDLW 0XOF
MOVF REVE
INCF DAIJS,1
DECE DATALENGTH
RETURN
篇10
摘 要:為了解決差分能量攻擊(DPA)中的樣本選取問題,提出了一套樣本選取方法。方法從所使用的實驗平臺出發(fā),通過理論分析提出樣本選取方式和數(shù)量,然后進行實驗驗證。以AES算法為例,分別進行了仿真實驗和實測實驗,驗證了所提出的選取方法的準確性。結(jié)果表明,仿真攻擊的明文樣本應(yīng)該按順序取,數(shù)量為一個全排列,而實測攻擊應(yīng)該直接采用大量隨機數(shù),兩者對明文樣本的要求存在較大差別。
關(guān)鍵詞:差分能量攻擊;樣本選取;功耗曲線;高級加密標準
中圖分類號: TN492;TP309.2 文獻標志碼:A
Abstract: To resolve the problem with selecting the samples in the Differential Power Attack (DPA), this paper proposed a set of samples selection method. Based on the given experimental platform, the mode and amount of samples selection were proposed through theoretical analysis, and then were validated by experiments. For Advanced Encryption Standard (AES), this paper put forward the samples selection methods for simulation test and practical experimentation, and proved that the proposed method was right. The results show that the simulation sample plaintext attack should be taken in sequence, with the quantity of a full array. And the attack should be measured directly using a large number of random numbers. There is a big difference in the explicit requirements of the sample.
Key words: Differential Power Attack (DPA); samples selection; power trace; Advanced Encryption Standard (AES)
0 引言
能量攻擊是應(yīng)用最為廣泛且最具威脅的旁道攻擊技術(shù)之一,其基本思想是通過分析密碼設(shè)備的能量消耗獲得其密鑰。自Kocher等于1998年提出能量攻擊概念[1],并對DES(Data Encryption Standard)算法的硬件實現(xiàn)進行實際的攻擊,該方法幾乎被應(yīng)用于所有的分組密碼的硬件實現(xiàn),并涌現(xiàn)出了大量的研究成果[2]。由于差分能量攻擊(Differential Power Attack, DPA)者幾乎不用知道除了算法之外的任何信息,非常有效且攻擊所需的硬件資源很小,DPA成為最廣泛的能量分析攻擊方法。
雖然現(xiàn)有的差分能量攻擊文獻很多,但是其中對樣本選取問題的討論卻很少。不考慮時間隨機化[3]、功耗平衡[4]、掩碼[5]等加防御措施的情況,本文以目前廣泛使用的AES算法為例,對分組密碼算法差分能量攻擊過程中樣本選取問題進行研究,經(jīng)過對比分析實驗結(jié)果,分別提出了針對仿真驗證和實測驗證的樣本選取方法。
1 差分能量攻擊原理
DPA攻擊利用密碼設(shè)備能量消耗的數(shù)據(jù)依賴性,使用大量的功耗曲線來分析固定時刻設(shè)備的能量消耗,并將其作為被處理數(shù)據(jù)的函數(shù)。通常,DPA攻擊需要經(jīng)過以下幾個步驟:首先,選擇所執(zhí)行算法的某個中間值作為區(qū)分函數(shù)并計算區(qū)分函數(shù)值;其次,測量密碼設(shè)備在加解密不同數(shù)據(jù)時的能量消耗;最后是分析處理數(shù)據(jù)。差分功耗計算公式如式(1)所示:
Tj=∑mi=1[DijPi]∑mi=1Dij-∑mi=1[(1-Dij)Pi]∑mi=1(1-Dij)(1)
其中:Dij表示第i個明文與第j個猜測密鑰運算得到的區(qū)分函數(shù)值;Pi表示第i個明文加密時的功耗值;Tj表示第j個猜測密鑰的差分功耗,它由Dij=1的功耗集合的均值減去的功耗集合的均值得到。
本文以S盒輸出某一位的漢明重量作為區(qū)分函數(shù)進行分析,下面的數(shù)據(jù)均是以此為基礎(chǔ)進行分析得到的。
2 差分能量攻擊樣本選取方法
仿真驗證是利用功耗仿真軟件(primepower)得出功耗值,而實測則是通過示波器采集實際的功耗值。由于primepower得出的功耗是一段時間內(nèi)的平均功耗,且沒有考慮噪聲,不能精確反映密碼芯片實際的工作情況。對實測得到的功耗曲線,可以得到密碼設(shè)備加解密運算時段的功耗。因此,雖然仿真驗證簡單易行,卻不能代替實測驗證。
2.1 仿真驗證中的樣本選取方法
仿真驗證過程如圖1所示,分兩個方面。一方面通過編寫modelsim測試程序,求出區(qū)分函數(shù)值。另一方面是利用軟件計算功耗,具體為:首先編寫硬件代碼,通過DesignCompiler將其綜合成門級網(wǎng)表;其次利用NCverilog對綜合后的門級網(wǎng)表進行仿真產(chǎn)生.vcd文件,最后采用primepower計算出功耗;最后,按式(1)進行數(shù)據(jù)分析。
對于分組密碼算法,以S盒為單位采取分段攻擊,比如AES,其S盒輸入和輸出均是8位,因此其一次攻擊的密鑰猜測空間為28即256。有如下定義:稱密鑰猜測空間為一個攻擊樣本數(shù)的單位,即單位樣本數(shù),記為N。以AES密碼算法為例,N=256。
為了得到仿真驗證樣本選取方法,包括樣本選取方式和樣本選取數(shù)量,本例對AES第一個S盒進行攻擊,采用漢明重量模型,區(qū)分函數(shù)為S盒輸出第三位,實際密鑰值為8′ha5。
1)選取樣本方式。
為了找到正確的樣本選取方式,分別采用隨機數(shù)和順序排列明文作為樣本進行攻擊。
當所采用的明文樣本為隨機數(shù)的時候,利用primepower得到的功耗值總是少于樣本數(shù),區(qū)分函數(shù)值與功耗值不能對齊,產(chǎn)生失調(diào),導(dǎo)致實驗無法進行。通過分析,發(fā)現(xiàn)在出現(xiàn)連續(xù)相同明文的情況時,primepower只給出一個功耗值。當采用順序排列明文時,可以有效解決功耗值丟失的問題。因此,仿真驗證中的明文樣本應(yīng)該采用順序排列形式。
2)選取樣本數(shù)量。
由于仿真驗證的功耗是利用軟件進行模擬得到的理想化的結(jié)果,不需要考慮噪聲的問題,因此可以得出推論:仿真驗證所需用的樣本數(shù)量不大。下面給出此推論的證明。
證明 令明文為plain,密鑰key,有:
Dij=Sbox1(plainikeyj)
其中Sbox1表示第一個S盒的置換操作。所給樣本為順序排列,即plaini=plaini+N,故有:
Dij=D(i+N)jPij=P(i+N)j(2)
對樣本量為N和2N,由式(1),分別有:
Tj=∑Ni=1[DijPi]∑Ni=1Dij-∑Ni=1[(1-Dij)Pi]∑Ni=1(1-Dij)
Tn=∑2Nm=1[DmnPm]∑2Nm=1Dmn-∑2Nm=1[(1-Dmn)Pm]∑2Nm=1(1-Dmn)
由式(2),易知當m=j時,有:
∑2Nm=1[DmnPm]=2∑Ni=1[DijPi]
∑2Nm=1Dmn=2∑Ni=1Dij
∑2Nm=1[(1-Dmn)Pm]=2∑Ni=1[(1-Dij)Pi]
∑2Nm=1(1-Dmn)=2∑Ni=1(1-Dij)
即Ti=Tm。證畢。
從證明過程可以看出,由于明文樣本是順序排列循環(huán)使用,在相同條件下,仿真得到的差分功耗值也是一個循環(huán)。
按照圖1所示驗證過程,分別采用N個明文樣本和2N個明文樣本進行仿真驗證,圖2為攻擊結(jié)果。
其中橫軸為猜測密鑰值,縱軸為差分功耗值。樣本量為N和2N時的攻擊結(jié)果完全相同,這里只給出一個圖表。此結(jié)果說明,攻擊所需樣本數(shù)量有一個臨界值,即為N。
下面對差分功耗值的循環(huán)出現(xiàn)進行實驗驗證,給出一個更加直觀的結(jié)果。
取不同數(shù)量的明文樣本(50,100,150,200,N,300,150+N,400,2N,550)分別進行攻擊,將結(jié)果集中在一個Excel表格中,導(dǎo)入Matlab,進行數(shù)據(jù)可視化處理,得結(jié)果如圖3所示,其中橫軸為樣本量,縱軸為差分功耗值,粗線標記出的為對應(yīng)正確猜測密鑰的曲線。
可以看出,樣本量小于150時沒有攻擊出正確結(jié)果,錯誤猜測密鑰的差分功耗大于正確猜測密鑰的差分功耗,出現(xiàn)了“假峰”即“ghost peak”[6],而樣本量為(150+N)時得出了正確結(jié)果,這說明樣本數(shù)量太少不會得出正確結(jié)果。同時,與樣本量為N時相比,樣本量為(150+N)時雖然攻擊出了正確結(jié)果,但是正確密鑰的差分功耗與次高的差分功耗之間的差值不如樣本量為N時大,其效果比不上樣本量為N時的情況??梢?,對于仿真驗證來說,適當?shù)脑黾訕颖玖渴潜匾?,但并不是樣本越多效果就越好?/p>
綜上所述,對于仿真驗證來說,由于功耗仿真軟件對連續(xù)相同明文輸入只給出一個功耗值,明文樣本應(yīng)該采用順序排列形式,避免功耗與區(qū)分函數(shù)值失調(diào);樣本數(shù)量方面,一個單位即可,如果沒有攻擊出正確結(jié)果,應(yīng)更換區(qū)分函數(shù)。
2.2 實測驗證的樣本選取與功耗采集方法
實測驗證和仿真驗證最大的區(qū)別就在于功耗的來源不同。對密碼芯片進行實際攻擊的時候,在芯片的電源和地之間串接一個小電阻(典型值5Ω),通過示波器采集電阻兩端的電壓值進行分析。示波器對每一組明文樣本的加解密運算過程中產(chǎn)生的功耗都有采集,因此明文樣本可以直接采用隨機數(shù),不必擔心功耗值丟失發(fā)生失調(diào)。
理論上,實測驗證采集的功耗比仿真驗證過程中軟件模擬出的功耗更加接近實際,攻擊出正確密鑰所需的樣本數(shù)量應(yīng)該少于后者。但是由于實際測量過程中存在噪聲,相比微小的差分功耗,噪聲的干擾是很嚴重的,以至于在樣本量不大的情況下,攻擊不出正確密鑰。因此,實際的攻擊需要大量的明文樣本。
1)功耗曲線統(tǒng)計特征。
密碼芯片執(zhí)行密碼算法時產(chǎn)生的功耗,可以簡單地用式(3)表示:
Ptotal=Pexp+Pnoise(3)
其中:Ptotal為總功耗,Pexp為可利用的功耗,Pnoise為噪聲功耗。
Mangard等對相同明文進行反復(fù)加密運算,對功耗曲線進行統(tǒng)計分析[7],得出結(jié)論:功耗曲線中的點服從正態(tài)分布,即:
Pexp~N(μ,σ1)Pnoise~N(0,σ1)(4)
從式(4)可以看出,噪聲功耗服從均值為0的正態(tài)分布,加密運算產(chǎn)生的功耗則服從均值為μ的正態(tài)分布。因此,對于每一個明文樣本,可以在密碼芯片中進行重復(fù)運算,記錄多條功耗曲線,接著計算其均值,這樣做可以盡量消除噪聲帶來的影響,使運算功耗更加接近均值μ。
2)信噪比對功耗曲線中樣本點的影響。
信噪比(SignaltoNoise Ratio, SNR)指的是一次測量中信號分量和噪聲分量的比值,定義為:
SNR=Var(signal)Var(noise)
本文所進行的能量攻擊中,Pexp對應(yīng)信號分量,是能量消耗中可以利用的分量,也是能量消耗中唯一包含對攻擊者有用信息的分量;Pnoise對應(yīng)噪聲分量,為包含電子噪聲和轉(zhuǎn)換噪聲的全部噪聲。由上面信噪比的定義,有:
SNR=Var(Pexp)Var(Pnoise)(5)
顯然,SNR越高,從噪聲中識別出Pexp就越容易,此時可利用的信息就越多[8]。因此,對示波器采集到的功耗曲線,應(yīng)該取運算過程中產(chǎn)生功耗尖峰時刻附近的點作為功耗樣本。
3)樣本選取方法及實驗驗證。
由上面分析可知,樣本選取可以有兩種方法:一種是對若干組相同明文進行重復(fù)加密求均值;另一種則是直接采用大量隨機明文。但是對于差分能量攻擊來說,參看式(1),可以發(fā)現(xiàn)在數(shù)據(jù)處理分析階段做了大量的求和平均,因此第一種方法不會有更好的攻擊效果。下面通過對實驗結(jié)果進行比較,來確定正確的樣本選取方法。
對基于FPGA實現(xiàn)的AES算法的第一個S盒進行攻擊,明文樣本分別是5000組隨機數(shù),10000組隨機數(shù),20×500組隨機數(shù)(同一明文進行20次重復(fù)運算,共500組)。
圖4分別是樣本為5000組隨機數(shù)、10000組隨機數(shù)時的攻擊結(jié)果,圖中標記出的為對應(yīng)正確猜測密鑰的曲線,此時區(qū)分函數(shù)為S盒第五位輸出的漢明重量[9]。
圖4中橫軸表示采樣點,縱軸表示差分功耗,加粗標示的為正確密鑰對應(yīng)的差分功耗曲線,可見樣本量為5000和10000時均能辨別出正確密鑰,而且后者效果更加明顯。
圖5為相同明文重復(fù)加密取均值時的攻擊結(jié)果,其中加粗線條表示的為正確密鑰對應(yīng)的差分功耗曲線。,其中標記出的為對應(yīng)正確猜測密鑰的曲線。橫軸表示采樣點,縱軸表示差分功耗由圖5可知,正確密鑰對應(yīng)的差分功耗曲線并不是最高尖峰,即沒有攻擊出正確結(jié)果。由此可以得出結(jié)論,相同樣本數(shù)量的情況下,進行功耗平均化處理的效果不如直接采用大量隨機明文時的攻擊效果。所以,樣本應(yīng)該直接選取大量隨機數(shù)。
3 結(jié)語
本文提出了分組密碼差分能量攻擊仿真驗證和實測驗證的樣本選取方法,并通過實驗進行了證實。結(jié)果表明,仿真驗證中的樣本應(yīng)該是以順序排列的形式給出,數(shù)量為一個單位數(shù);實測驗證中樣本應(yīng)直接選用隨機數(shù),而不是采用若干組相同明文。實測驗證樣本數(shù)量的確定要考慮很多因素,與算法本身也有很大關(guān)系,現(xiàn)有文獻關(guān)于這方面的研究很少,且都是基于信噪比[11-12]進行分析的。所以,如何確定實測驗證中的樣本數(shù)量,將成為下一步將要研究的重點。
參考文獻:
[1]
KOCHER P, JAFFE J, JUN B. Differential power analysis [C]// Advances in Cryptology-CRYPTO99, LNCS 1666. Berlin: SpringerVerlag, 1999: 388-397.
[2]
STANDAERT FX, MALKIN T G, YUNG M. A unified framework for the analysis of sidechannel key recovery attacks [C]// EUROCRYPT09: Proceedings of the 28th Annual International Conference on Advances in Cryptology: The Theory and Applications of Cryptographic Techniques, LNCS 5479. Berlin: SpringerVerlag, 2009: 443-461.
[3]
REN FANG, YAN YINGJIAN, FU XIAOBING. Design of differential power analysis resistant crypto chip based on time randomization [J]. Journal of Electronics (CHINA), 2010, 27(2): 237-242.
[4]
曾永紅,葉旭明.抗差分功耗分析攻擊的AES S盒電路設(shè)計[J].計算機工程,2010,36(9):20-22.
[5]
劉海清,陸洪毅,童元滿.基于隨機掩碼的AES算法抗DPA攻擊硬件實現(xiàn)[J].信息網(wǎng)絡(luò)安全,2008(11):51-54.
[6]
GUILLEY S, HOOGVORST P, PACALET R. Differential power analysis model and some results [C]// Smart Card Research and Advanced Applications VI, IFIP 18th World Computer Congress, TC8/WG8.8 & TC11/WG11.2 Sixth International Conference on Smart Card Research and Advanced Applications. Norwell: Kluwer Academic Publishers, 2004: 127-142.
[7]
MANGARD S, OSWALD E, POPP T.能量分析攻擊[M].馮登國,周永彬,劉繼業(yè),等譯.北京:科學(xué)出版社,2010:50-55.
[8]
LE T H, CLEDIERE J, SERVIERE C, et al. Noise reduction in side channel attack using fourthorder cumulant [J]. IEEE Transactions on Information Forensics and Security, 2007, 2(4): 710-720.
[9]
PARK J, LEE H, HA J, et al. A differential power analysis attack of block cipher based on the Hamming weight of internal operation unit [C]// Proceedings of 2006 International Conference on Computational Intelligence and Security, LNCS 4456. Berlin: SpringerVerlag, 2006: 1375-1380.
[10]
張鵬,鄧高明,鄒程,等.差分功率分析攻擊中的信號處理與分析[J].微電子學(xué)與計算機,2009,26(11):1-4.
[11]
MESSERGES T S, DABBISH E A, SLOAN R H. Examining smartcard security under the threat of power analysis attacks [J]. IEEE Transactions on Computers, 2002, 51(5): 541-552.
[12]
STRACHACKI M, SZCZEPANSKI S. Implementation of AES algorithm resistant to differential power analysis [C]// ICECS 2008: Proceedings of the 15th IEEE International Conference on Electronics, Circuits and Systems. Piscataway: IEEE, 2008: 214-217.