大規(guī)模集成電路范文

時(shí)間:2023-04-10 02:21:33

導(dǎo)語:如何才能寫好一篇大規(guī)模集成電路,這就需要搜集整理更多的資料和文獻(xiàn),歡迎閱讀由公務(wù)員之家整理的十篇范文,供你借鑒。

篇1

1、大規(guī)模集成電路:LSI (Large Scale Integration ),通常指含邏輯門數(shù)為100門~9999門(或含元件數(shù)1000個(gè)~99999個(gè)),在一個(gè)芯片上集合有1000個(gè)以上電子元件的集成電路。

2、超大規(guī)模集成電路:VLSI(Very Large Scale Integration) 通常指含邏輯門數(shù)大于10000 門(或含元件數(shù)大于100000個(gè))。是一種將大量晶體管組合到單一芯片的集成電路,其集成度大于大規(guī)模集成電路。集成的晶體管數(shù)在不同的標(biāo)準(zhǔn)中有所不同。尤其是數(shù)字集成電路,通常采用電子設(shè)計(jì)自動(dòng)化的方式進(jìn)行,已經(jīng)成為計(jì)算機(jī)工程的重要分支之一。

(來源:文章屋網(wǎng) )

篇2

采用大規(guī)模集成電路(LSI)和超大規(guī)模集成電路(VLSI)為主要電子器件。美國ILLIAC-IV計(jì)算機(jī),是第一臺(tái)全面使用大規(guī)模集成電路作為邏輯元件和存儲(chǔ)器的計(jì)算機(jī),它標(biāo)志著計(jì)算機(jī)的發(fā)展已到了第四代。

1975年,美國阿姆爾公司研制成470V/6型計(jì)算機(jī),隨后日本富士通公司生產(chǎn)出M-190機(jī),是比較有代表性的第四代計(jì)算機(jī)。英國曼徹斯特大學(xué)1968年開始研制第四代機(jī)。1974年研制成功ICL2900計(jì)算機(jī),1976年研制成功DAP系列機(jī)。1973年,德國西門子公司、法國國際信息公司與荷蘭飛利浦公司聯(lián)合成立了統(tǒng)一數(shù)據(jù)公司。共同研制出Unidata7710系列機(jī)。

(來源:文章屋網(wǎng) )

篇3

摘 要:航天器件在空間環(huán)境中存在著單粒子效應(yīng),根據(jù)研究可知高溫會(huì)提升單粒子效應(yīng)的敏感性,因此為了更好地評(píng)估器件的抗輻射性能,有必要建立一套高溫單粒子效應(yīng)測(cè)試系統(tǒng).通過建立高溫單粒子效應(yīng)測(cè)試系統(tǒng),選擇ASIC和SRAM進(jìn)行高溫測(cè)試實(shí)驗(yàn),完成了電路高溫下的單粒子效應(yīng)檢測(cè),證明了溫度提升單粒子效應(yīng)敏感性的事實(shí).

關(guān)鍵詞:?jiǎn)瘟W有?yīng); 線性能量傳輸; 可編程邏輯; 大規(guī)模集成電路;

隨著半導(dǎo)體技術(shù)的迅猛發(fā)展,航天器用微電子器件的集成度不斷提高,超大規(guī)模集成電路(VLSI)越來越多地應(yīng)用在航天器中.航天器在空間中飛行,一直處在帶電粒子構(gòu)成的輻射環(huán)境中,空間輻射環(huán)境中的高能粒子可能導(dǎo)致航天器電子系統(tǒng)中的半導(dǎo)體器件發(fā)生單粒子效應(yīng).由于器件的特征尺

篇4

【關(guān)鍵詞】EDA技術(shù);QuartusⅡ;電子設(shè)計(jì);VHDL

1.引言

集成電路設(shè)計(jì)不斷向超大規(guī)模、低功率、超高速方向發(fā)展,其核心技術(shù)是基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)技術(shù)。EDA(Electronic Design Automation,電子設(shè)計(jì)自動(dòng)化)技術(shù),以集成電路設(shè)計(jì)為目標(biāo),以可編程邏輯器件(如CPLD、FPGA)為載體,以硬件描述語言(VHDL、VerilogHDL)為設(shè)計(jì)語言,以EDA軟件工具為開發(fā)環(huán)境,利用強(qiáng)大計(jì)算機(jī)技術(shù)來輔助人們自動(dòng)完成邏輯化和仿真測(cè)試,直到既定的電子產(chǎn)品的設(shè)計(jì)完成。其融合了,大規(guī)模集成電路制造技術(shù)、計(jì)算機(jī)技術(shù)、智能化技術(shù),可以進(jìn)行電子電路設(shè)計(jì)、仿真,PCB設(shè)計(jì),CPLD/FPGA設(shè)計(jì)等。簡(jiǎn)言之,EDA技術(shù)可概括為在開發(fā)軟件(本文用QuartusⅡ)環(huán)境里,用硬件描述語言對(duì)電路進(jìn)行描述,然后經(jīng)過編譯、仿真、修改環(huán)節(jié)后,最終下載到設(shè)計(jì)載體(CPLD、FPGA)中,從而完成電路設(shè)計(jì)的新技術(shù)。

以EDA技術(shù)為核心的現(xiàn)代電子設(shè)計(jì)方法和傳統(tǒng)的電子設(shè)計(jì)方法相比有很大的優(yōu)點(diǎn),兩種設(shè)計(jì)方法的流程如下圖:

圖1 傳統(tǒng)電子設(shè)計(jì)流程圖

圖2 基于EDA的現(xiàn)代電子設(shè)計(jì)流程圖

比較兩種設(shè)計(jì)方法,基于EDA技術(shù)的現(xiàn)在電子設(shè)計(jì)方法采用自上而下的設(shè)計(jì)方法,系統(tǒng)設(shè)計(jì)的早期便可進(jìn)行逐層仿真和修改,借助計(jì)算機(jī)平臺(tái),降低了電路設(shè)計(jì)和測(cè)試的難度,極大程度地縮短了電子產(chǎn)品的設(shè)計(jì)周期、節(jié)約了電子產(chǎn)品的設(shè)計(jì)成本。DEA技術(shù)極大的促進(jìn)了現(xiàn)代電子技術(shù)的發(fā)展,已成為現(xiàn)代電子技術(shù)的核心。

2.QuartusⅡ軟件開發(fā)環(huán)境介紹

QuartusⅡ軟件是Alter公司開發(fā)的綜合性EDA工具軟件,提供了強(qiáng)大的電子設(shè)計(jì)功能,充分發(fā)揮了FPGA、CPLD和結(jié)構(gòu)化ASIC的效率和性能,包含自有的綜合器及仿真器,支持原理圖、VHDL、VerilogHDL等多種設(shè)計(jì)輸入,把設(shè)計(jì)、布局布線和驗(yàn)證功能以及第三方EDA工具無縫的集成在一起。QuartusⅡ與Alter公司的上一代設(shè)計(jì)工具M(jìn)AX+plusⅡ具有一定的相似性,和繼承性。使熟悉MAX+plusⅡ開發(fā)環(huán)境的設(shè)計(jì)人員可以快速熟練應(yīng)用。相比之下,QuartusⅡ軟件功能更為強(qiáng)大、設(shè)計(jì)電路更為便捷,支持的器件更多。增強(qiáng)了自動(dòng)化程度,縮短了編譯時(shí)間,提升了調(diào)試效率。從而縮短了電子產(chǎn)品的設(shè)計(jì)周期。利用QuartusⅡ軟件進(jìn)行電子電路設(shè)計(jì)流程如圖3所示。

圖3 QuartusⅡ設(shè)計(jì)流程圖

3.在QuartusⅡ環(huán)境下的EDA方法設(shè)計(jì)實(shí)例

下面本文在QuartusⅡ環(huán)境下,以下降沿D觸發(fā)器的設(shè)計(jì)為例來說明基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)方法(本文以QuartusⅡ9.0為例)。

3.1 在計(jì)算機(jī)上安裝QuartusⅡ9.0版本軟件

QuartusⅡ9.0對(duì)計(jì)算機(jī)硬件配置要求不高,現(xiàn)階段的主流配置完全可以滿足其要求。QuartusⅡ9.0安裝過程很簡(jiǎn)單,按照提示操作即可。

3.2 D觸發(fā)器功能分析

從D觸發(fā)器真值表可以看出,當(dāng)時(shí)鐘信號(hào)clk不論是高電平還是低電平,其輸出q的狀態(tài)都保持不變,當(dāng)時(shí)鐘信號(hào)clk由高電平變?yōu)榈碗娖綍r(shí),輸出信號(hào)q和輸入信號(hào)d的狀態(tài)相同。

表1 D觸發(fā)器真值表

輸入d 時(shí)鐘clk 輸出q

× 0 不變

× 1 不變

0 下降沿 0

1 下降沿 1

3.3 D觸發(fā)器的VHDL描述設(shè)計(jì)

下面給出D觸發(fā)器的VHDL描述:

library ieee;

use ieee.std_logic_1164.all;

entity dff1 is

port(d,clk:in std_logic;

q:out std_logic);

end dff1;

architecture bhv of dff1 is

begin

process(clk)

begin

if clk='1' then

q<=d;

end if;

end process;

end bhv;

上面程序在QuartusⅡ9.0環(huán)境下,經(jīng)保存后進(jìn)行編譯,然后可進(jìn)行波形仿真。

3.4 設(shè)計(jì)仿真

VHDL描述程序編譯后,建立矢量波形文件,之后可以進(jìn)行波形仿真,得到如下波形仿真圖(如圖4所示):

圖4 D觸發(fā)器仿真波形圖

此仿真波形符合D觸發(fā)器真值表,說明電路設(shè)計(jì)正確。如果波形仿真不符合真值表,說明電路設(shè)計(jì)有問題,此時(shí)可以回到3.3步驟修改VHDL描述程序,直至仿真結(jié)果正確為止。

波形仿真正確后,可得出相應(yīng)的邏輯電路圖,D觸發(fā)器電路圖(如圖5所示)如下:

圖5 D觸發(fā)器邏輯電路圖

3.5 配置下載測(cè)試

整個(gè)電路設(shè)計(jì)、編譯仿真無誤后,按照FPGA開發(fā)板說明書進(jìn)行引腳鎖定,重新進(jìn)行編譯后,然后通過下載電纜線,將產(chǎn)生的sof文件下載至FPGA中,對(duì)電路進(jìn)行測(cè)試、驗(yàn)證,完成電路的最終設(shè)計(jì)。

4.結(jié)束語

本文以QuartusⅡ開發(fā)環(huán)境下的實(shí)際電路設(shè)計(jì)為例,介紹了基于EDA技術(shù)的現(xiàn)代電子設(shè)計(jì)方法。通過設(shè)計(jì)過程可知,DEA技術(shù)在現(xiàn)代電子電路設(shè)計(jì)中的重要性。在電子技術(shù)飛速發(fā)展的信息時(shí)代,EDA技術(shù)也在不斷發(fā)展。電子產(chǎn)品設(shè)計(jì)者有必要熟練掌握硬件描述語言、可編程邏輯器件以及各種主流軟件開發(fā)環(huán)境,這樣才可以在最短的時(shí)間內(nèi)完成高質(zhì)量的電子產(chǎn)品設(shè)計(jì)任務(wù)。

參考文獻(xiàn)

[1]閻石.數(shù)字電子技術(shù)基礎(chǔ)[M].北京:高等教育出版社(第五版),2006.

[2]劉江海.EDA技術(shù)[M].武漢:華中科技大學(xué)出版社,2009.

篇5

1、單片機(jī)(Single-ChipMicrocomputer)是一種集成電路芯片,是采用超大規(guī)模集成電路技術(shù)把具有數(shù)據(jù)處理能力的中央處理器CPU、隨機(jī)存儲(chǔ)器RAM、只讀存儲(chǔ)器ROM、多種I/O口和中斷系統(tǒng)、定時(shí)器/計(jì)數(shù)器等功能(可能還包括顯示驅(qū)動(dòng)電路、脈寬調(diào)制電路、模擬多路轉(zhuǎn)換器、A/D轉(zhuǎn)換器等電路)集成到一塊硅片上構(gòu)成的一個(gè)小而完善的微型計(jì)算機(jī)系統(tǒng),在工業(yè)控制領(lǐng)域廣泛應(yīng)用。

2、從上世紀(jì)80年代,由當(dāng)時(shí)的4位、8位單片機(jī),發(fā)展到現(xiàn)在的300M的高速單片機(jī)。

(來源:文章屋網(wǎng) )

篇6

如果是8051系列的單片機(jī),下載一個(gè)stc-isp軟件,將編譯生成的.hex文件下載單片機(jī)中就好了,但有一點(diǎn)注意的是,這個(gè)軟件是需要驅(qū)動(dòng)程序的,否則是不能運(yùn)行的。

單片機(jī)(Microcontrollers)是一種集成電路芯片,是采用超大規(guī)模集成電路技術(shù)把具有數(shù)據(jù)處理能力的中央處理器CPU、隨機(jī)存儲(chǔ)器RAM、只讀存儲(chǔ)器ROM、多種I/O口和中斷系統(tǒng)、定時(shí)器/計(jì)數(shù)器等功能(可能還包括顯示驅(qū)動(dòng)電路、脈寬調(diào)制電路、模擬多路轉(zhuǎn)換器、A/D轉(zhuǎn)換器等電路)集成到一塊硅片上構(gòu)成的一個(gè)小而完善的微型計(jì)算機(jī)系統(tǒng),在工業(yè)控制領(lǐng)域廣泛應(yīng)用。從上世紀(jì)80年代,由當(dāng)時(shí)的4位、8位單片機(jī),發(fā)展到現(xiàn)在的300M的高速單片機(jī)。

(來源:文章屋網(wǎng) )

篇7

關(guān)鍵詞:ADF4360-7;U波段頻率源;設(shè)計(jì)

Abstract:The frequency synthesis technology is a modern means to generate a frequency source. It has been widely used in communication, navigation, electronic reconnaissance, jamming and anti-jamming, and remote control technology. The rapid development of wireless communication technology, the frequency synthesis technology in the communication increasingly significant role. This paper mainly introduces the design and implementation of an U band frequency source based on ADF4360-7.Keywords:ADF4360-7; U band frequency source design;

中圖分類號(hào):TN91文獻(xiàn)標(biāo)識(shí)碼: 文章編號(hào):

1 概述

頻率合成技術(shù)是產(chǎn)生頻率源的一種現(xiàn)代化手段,它已廣泛應(yīng)用于通信、導(dǎo)航、電子偵察、干擾與反干擾、以及遙控遙測(cè)技術(shù)中。無線通信技術(shù)的快速發(fā)展,使得頻率合成技術(shù)在通信中的作用日益顯著。

以往的頻率合成器都是用分立元件和小規(guī)模集成電路組裝起來的,技術(shù)復(fù)雜,可靠性低、功耗大、體積大、成本高。隨著半導(dǎo)體工藝和集成電路技術(shù)的快速發(fā)展,出現(xiàn)了許多用于頻率合成的大規(guī)模集成電路。在這些大規(guī)模集成電路中,把頻率合成器的主要部件如參考分頻器、程序分頻器、鑒相器、鎖定指示器、甚至壓控振蕩器等集成在同一芯片上。再配上參考振蕩器、環(huán)路濾波器,即可構(gòu)成完整的頻率合成器。這使得頻率合成器的成本、體積和功耗都大大下降,簡(jiǎn)化了設(shè)計(jì)和生產(chǎn)調(diào)試,可靠性明顯提高。

2 ADF4360-7的U波段頻率源的設(shè)計(jì)

ADF4360-7是一款集成式整數(shù)N分頻頻率合成器和電壓控制振蕩器(VCO),中心頻率由外部電感設(shè)置,頻率范圍為350 MHz至1800 MHz。此外,該器件還提供2分頻選項(xiàng),用戶可以利用它獲得175 MHz至900 MHz的RF輸出。所有片內(nèi)寄存器均通過簡(jiǎn)單的三線式接口進(jìn)行控制。該器件采用3.0 V至3.6 V電源供電,不用時(shí)可以關(guān)斷。

該芯片主要由低噪聲數(shù)字鑒相器,精確電荷泵,可編程參考分頻器,可編程A、B 計(jì)數(shù)器及雙模前置分頻器(P/P + 1) 等部件組成。數(shù)字鑒相器用來對(duì)R 計(jì)數(shù)器和N 計(jì)數(shù)器的輸出相位進(jìn)行比較,然后輸出一個(gè)與二者相位誤差成比例的誤差電壓。精確電荷泵采用可編程電流設(shè)置完成輸出??删幊虆⒖挤诸l器實(shí)際上是一個(gè)14bit 的R 計(jì)數(shù)器, 主要完成對(duì)外部恒溫晶振進(jìn)行分頻,分頻比的范圍是1~16383, 從而得到參考頻率??删幊藺、B 計(jì)數(shù)器及雙模前置分頻器(P/P + 1) 共同完成主分頻比N (N = B P+ A ), 雙模前置分頻器(P/P + 1) 也是可編程的, P 的取值有幾種模式: 8/9,16/17, 32/33,64/65。芯片通電后,鎖存器的輸入順序是這樣的:1,R計(jì)數(shù)鎖存器;2,控制鎖存器;3,N計(jì)數(shù)鎖存器。

使用ADI公司的仿真設(shè)計(jì)軟件ADIsimPLL可以很方便的進(jìn)行環(huán)路參數(shù)的設(shè)計(jì)修改以及頻譜仿真。我們使用該軟件設(shè)計(jì)一個(gè)單點(diǎn)頻率為500MHz的U波段頻率源參數(shù)。外置參考頻率為10MHz。軟件啟動(dòng)后選擇器件ADF4360-7,根據(jù)提示,選擇單點(diǎn)頻設(shè)置。

然后依次設(shè)置輸出頻率為500MHz,鑒相頻率1MHz,參考頻率選用10MHz外置晶振。外部環(huán)路選擇無源環(huán)路,設(shè)置環(huán)路帶寬為10KHz,相位裕度為45°,最后形成的環(huán)路參數(shù)如圖1所示

圖1

最后的頻譜仿真圖如圖2所示

圖2

ADI公司為ADF4360系列提供了豐富的軟件支持,使用單片機(jī)置數(shù)時(shí)我們不需要在進(jìn)行繁雜的計(jì)算,使用ADF4360-xevaluation軟件可以非常方便快速的計(jì)算出所需置數(shù)。如圖3所示

圖3

圖中可見N計(jì)數(shù)器 ,控制鎖存器,R計(jì)數(shù)器的數(shù)值都已給出。

至此,我們已得出通過ADF4360-7實(shí)現(xiàn)500MHz單點(diǎn)頻頻率源的所有重要參數(shù)。

篇8

單片機(jī)應(yīng)用系統(tǒng)是由硬件系統(tǒng)和軟件系統(tǒng)組成的,包括由運(yùn)算器、控制器、存儲(chǔ)器、輸入輸出設(shè)備。

單片機(jī)是一種集成電路芯片,是采用超大規(guī)模集成電路技術(shù)把具有數(shù)據(jù)處理能力的中央處理器CPU、隨機(jī)存儲(chǔ)器RAM、只讀存儲(chǔ)器ROM、多種I/O口和中斷系統(tǒng)、定時(shí)器/計(jì)數(shù)器等功能(可能還包括顯示驅(qū)動(dòng)電路、脈寬調(diào)制電路、模擬多路轉(zhuǎn)換器、A/D轉(zhuǎn)換器等電路)集成到一塊硅片上構(gòu)成的一個(gè)小而完善的微型計(jì)算機(jī)系統(tǒng),在工業(yè)控制領(lǐng)域廣泛應(yīng)用。從上世紀(jì)80年代,由當(dāng)時(shí)的4位、8位單片機(jī),發(fā)展到現(xiàn)在的300M的高速單片機(jī)。單片機(jī)又稱單片微控制器,它不是完成某一個(gè)邏輯功能的芯片,而是把一個(gè)計(jì)算機(jī)系統(tǒng)集成到一個(gè)芯片上。相當(dāng)于一個(gè)微型的計(jì)算機(jī),和計(jì)算機(jī)相比,單片機(jī)只缺少了I/O設(shè)備。概括的講:一塊芯片就成了一臺(tái)計(jì)算機(jī)。它的體積小、質(zhì)量輕、價(jià)格便宜、為學(xué)習(xí)、應(yīng)用和開發(fā)提供了便利條件。同時(shí),學(xué)習(xí)使用單片機(jī)是了解計(jì)算機(jī)原理與結(jié)構(gòu)的最佳選擇。

(來源:文章屋網(wǎng) )

篇9

1物理學(xué)的發(fā)展過程

1.1 宏觀低速階段

研究宏觀低速的理論是牛頓力學(xué),研究對(duì)象為宏觀低速運(yùn)動(dòng)的物體。例如:汽車、火車的運(yùn)動(dòng),地球衛(wèi)星的發(fā)射。在牛頓力學(xué)中,牛頓認(rèn)為:質(zhì)量、時(shí)間、空間都是絕對(duì)的。也就是說,對(duì)于時(shí)間來講不存在延長(zhǎng)和收縮的問題,即時(shí)間是在一秒鐘,一秒鐘地或一個(gè)小時(shí),一個(gè)小時(shí)地均勻流失。對(duì)于空間和質(zhì)量來講也不存在著變大或變小的問題。牛頓力學(xué)的三大定律,就是在這樣的基礎(chǔ)上建立的。

1.2 宏觀高速階段

研究宏觀高速的理論是愛因斯坦的相對(duì)論力學(xué),愛因斯坦在1905年發(fā)表了論文相對(duì)論力學(xué)。愛因斯坦認(rèn)為空間、質(zhì)量、時(shí)間都是相對(duì)的。并且找出了動(dòng)質(zhì)量和靜質(zhì)量之間的關(guān)系:其中m0為靜質(zhì)量;m為動(dòng)質(zhì)量。

1.3 微觀低速階段

其理論是薛定諤,海森堡兩個(gè)創(chuàng)立的量子力學(xué)。研究對(duì)象為分子、原子、電子、粒子等肉眼所看不見的物質(zhì)。

1.4 微觀高速階段

理論是量子場(chǎng)論,研究對(duì)象為宇宙射線,放射性元素。例如:“鐳”。量子場(chǎng)論就是粒子通過相互作用而被產(chǎn)生,湮滅或相互轉(zhuǎn)化的規(guī)律。例如:通過對(duì)天外射線射向地球宇宙射線的研究發(fā)現(xiàn)“反粒子”,即電子的反粒子正電子。負(fù)電子與正電子相互作用湮沒—— 轉(zhuǎn)化為二個(gè)γ光子,例如“閃電”。

2物理學(xué)與工程技術(shù)的關(guān)系

物理學(xué)與工程技術(shù)有著密切的關(guān)系,他們之間是相互促進(jìn)共同發(fā)展的。我們平時(shí)常說科學(xué)技術(shù),實(shí)際上科學(xué)和技術(shù)是兩個(gè)不同的概念??茖W(xué)解決理論問題,而技術(shù)解決實(shí)際問題??茖W(xué)是發(fā)現(xiàn)自然界當(dāng)中確實(shí)存在的事實(shí),并且建立理論,把這些理論和現(xiàn)象聯(lián)系起來??茖W(xué)主要是探索未知,而技術(shù)是把科學(xué)取得的成果和理論應(yīng)用于實(shí)際當(dāng)中,從而解決實(shí)際問題。所以技術(shù)是在理論相對(duì)比較成熟的領(lǐng)域里邊工作。科學(xué)與工程技術(shù)相互促進(jìn)的模式主要有以下兩種。

2.1 技術(shù)—— 物理—— 技術(shù)

例如:蒸汽機(jī)的發(fā)明和蒸汽機(jī)在工業(yè)當(dāng)中的應(yīng)用形成了第一次工業(yè)革命—— 熱力學(xué)統(tǒng)計(jì)物理—— 蒸汽機(jī)效率的提高,內(nèi)燃機(jī),燃?xì)廨啓C(jī)的發(fā)明。這一次主要是這樣:由于蒸汽機(jī)的發(fā)明,在當(dāng)初工業(yè)應(yīng)用上,出現(xiàn)了很多應(yīng)用技術(shù)的問題。例如蒸汽機(jī)發(fā)明的初期熱效率很低,大概不到5%。這樣,就對(duì)物理提出了很尖銳的問題。那就是熱機(jī)的效率最高能達(dá)到多少?熱機(jī)的效率有沒有上限?上限是多少?再一個(gè)就是通過什么樣的方式來提高熱機(jī)的效率?由于這些問題就促進(jìn)了物理學(xué)的發(fā)展,正是在這些問題解決的過程當(dāng)中,逐漸形成和建立了熱力學(xué)統(tǒng)計(jì)物理。而熱力學(xué)統(tǒng)計(jì)物理很好地回答了提高熱機(jī)效率的途徑,以及提高熱機(jī)效率的限度等等這些理論上的問題。

2.2 物理—— 技術(shù)—— 物理

例如:(1)電磁學(xué)—— 發(fā)電機(jī),電力電器,無線電通信技術(shù)—— 電磁學(xué);電磁學(xué)從庫侖定律的發(fā)現(xiàn),以及法拉第發(fā)現(xiàn)電磁感應(yīng)定律,直到1865年麥克斯韋建立電磁學(xué)基本理論,這些都是科學(xué)家在實(shí)驗(yàn)室里邊逐漸形成的,這都是理論建立的過程,而這些理論應(yīng)用于實(shí)際就發(fā)明了電動(dòng)機(jī)、發(fā)電機(jī)等其它電器以及無線電通信技術(shù),而這些實(shí)用技術(shù)的進(jìn)一步發(fā)展又給電磁學(xué)提出來了許多需要解決的實(shí)際問題。正是這些問題的逐步解決,使得電磁學(xué)更加的完善和在理論上進(jìn)一步得到了提高。(2)量子力學(xué),半導(dǎo)體物理—— 晶體管超級(jí)大規(guī)模集成電路技術(shù),電子計(jì)算機(jī)技術(shù),激光技術(shù)—— 量子力學(xué),激光物理;量子力學(xué)是20世紀(jì)初期為了解決物理上的一些疑難問題而建立起來的一種理論,這種理論應(yīng)用于解決晶體的問題就形成了半導(dǎo)體技術(shù),而半導(dǎo)體技術(shù)的進(jìn)一步發(fā)展就發(fā)明了大規(guī)模集成電路和超大規(guī)模集成電路,而超大規(guī)模集成電路的發(fā)明是產(chǎn)生電子計(jì)算機(jī)的主要物質(zhì)基礎(chǔ),而正是由于電子計(jì)算機(jī)技術(shù)的發(fā)展又向量子力學(xué)提出了一些其他更加深刻需要解決的問題,而這些問題的解決就促進(jìn)了量子力學(xué)的進(jìn)一步發(fā)展和完善。(3)狹義相對(duì)論,質(zhì)能關(guān)系E=mc2,E=mc2—— 原子彈及核能的利用—— 核物理,粒子物理,高能物理;狹義相對(duì)論是20世紀(jì)初期愛因斯坦建立的一種理論,他是為了解決電磁學(xué)等其他物理學(xué)科上的一些經(jīng)典物理當(dāng)中理論上的一些不協(xié)調(diào)和不自恰這樣一種矛盾而提出的一種理論,這種理論當(dāng)中有一個(gè)很重要的理論結(jié)果,那就是質(zhì)能關(guān)系E=mc2,E=mc2。而這種質(zhì)能關(guān)系被我們稱為打開核能寶庫的鑰匙,這一理論結(jié)果的應(yīng)用直接導(dǎo)致了或者指導(dǎo)了核能的應(yīng)用,而對(duì)于核能的進(jìn)一步應(yīng)用又提出了許多新的問題,而這些新問題的進(jìn)一步解決使得理論更加完善而得到進(jìn)一步提高,從而形成像核物理,粒子物理,以及高能物理等等,那么實(shí)際技術(shù)上問題的解決又進(jìn)一步促進(jìn)了物理學(xué)的發(fā)展。

3結(jié)語

應(yīng)該說物理和技術(shù)有著密切的聯(lián)系,物理原理及理論的初創(chuàng)式開發(fā)和應(yīng)用都形成了當(dāng)時(shí)的高新技術(shù),物理學(xué)仍然是當(dāng)代高新技術(shù)的主要源泉。所有新技術(shù)的產(chǎn)生都在物理學(xué)中經(jīng)歷了長(zhǎng)期醞釀。例如:1909年盧瑟福的粒子散射實(shí)驗(yàn)—— 40年后的核能利用;1917年愛因斯坦的受激發(fā)射理論—— 1960年第一臺(tái)激光器的誕生等,整個(gè)信息技術(shù)的產(chǎn)生、發(fā)展,其硬件部分都是以物理學(xué)為基礎(chǔ)的。

參考文獻(xiàn)

[1] 張啟仁.經(jīng)典場(chǎng)論[M].北京:科學(xué)出版社,2003.

[2] 井孝功.量子力學(xué)[M].哈爾濱:哈爾濱工業(yè)大學(xué)出版社,2004.

[3] 關(guān)洪.空間:從相對(duì)論到M理論的歷史[M].北京:清華大學(xué)出版社,2004.

[4] 保羅·貝內(nèi)特[著],蘇福忠[譯].時(shí)間[M].上海:上海人民美術(shù)出版社,2003.

[5] G.司蒂文遜,C.W.凱爾密司特.狹義相對(duì)論[M].上海:上??茖W(xué)技術(shù)出版社,1963.

[6] 趙展岳.相對(duì)論導(dǎo)引[M].北京:清華大學(xué)出版社,2002.

篇10

關(guān)鍵詞:等離子體刻蝕;金剛石膜;進(jìn)展

1 引言

化學(xué)氣相沉積(CVD)金剛石膜擁有許多卓越的化學(xué)和物理性質(zhì),如良好的化學(xué)穩(wěn)定性、高硬度、高彈性模量、高熱導(dǎo)率、寬光譜透過范圍、寬禁帶寬度和極高的載流子遷移率等,因而在機(jī)械、微電子、通訊和國防工業(yè)中都有著廣泛的應(yīng)用[1]。目前,工業(yè)合成的CVD金剛石膜一般是表面非常粗糙的圓片形多晶金剛石厚膜,因此需要經(jīng)過拋光、刻蝕圖形化、切割以及金屬化和焊接等加工過程,才能實(shí)現(xiàn)其具體的工業(yè)應(yīng)用。例如,把金剛石膜用作刀具表面的超硬涂層時(shí),需要先將所制備的金剛石膜進(jìn)行拋光和切割,才能焊接到各種刀具上。用作大功率集成電路的散熱片和紅外光學(xué)窗口時(shí),拋光可以降低表面?zhèn)鳠釗p失和光的漫反射。而把金剛石膜用作微電子機(jī)械器件時(shí),需要將其進(jìn)行拋光和表面微刻蝕圖形化。

低溫等離子體微細(xì)加工手段是材料微納加工的關(guān)鍵技術(shù),它是微電子、光電子、微機(jī)械、微光學(xué)等制備技術(shù)的基礎(chǔ)[2]。特別是在超大規(guī)模集成電路制造工藝中,有近三分之一的工序是借助于等離子體加工完成的。如等離子體薄膜沉積、等離子體刻蝕及等離子體去膠等,其中等離子體刻蝕成為最為關(guān)鍵的工藝流程之一,是實(shí)現(xiàn)超大規(guī)模集成電路生產(chǎn)中的微細(xì)圖形高保真地從光刻模板轉(zhuǎn)移到硅片和金剛石膜上不可替代的工藝[3]。

2 主要設(shè)備與研究方法

等離子體刻蝕在金剛石膜的加工中可以起到很多方面的作用,是非常重要的基礎(chǔ)技術(shù)之一。例如,將金剛石膜用作微機(jī)械、微電子、微傳感器和微光機(jī)電系統(tǒng)等方面的微納尺度器件時(shí),需要對(duì)所制備的CVD金剛石膜進(jìn)行微加工以使其圖形化。除了采用離子源產(chǎn)生的離子束來研究微加工,如Kaufman離子源[4],目前主要采用等離子體來研究金剛石膜的刻蝕和微加工,所采用的刻蝕系統(tǒng)主要有射頻感應(yīng)偶合等離子體刻蝕和電子回旋共振等離子體刻蝕。

射頻感應(yīng)偶合等離子體刻蝕系統(tǒng)(Inductively Coupled Plasma, ICP)[5],是集成電路刻蝕中廣泛采用的技術(shù),因而在金剛石膜的刻蝕圖形中,也被研究者們廣泛采用。如圖1所示,感應(yīng)偶合等離子體刻蝕系統(tǒng)包括真空系統(tǒng)、冷卻水系統(tǒng)和電源系統(tǒng)。它采用射頻電磁波偶合加速電子,被加速的電子碰撞低壓氣體來激發(fā)產(chǎn)生低溫等離子體。同時(shí)在水冷卻基片上加上偏壓來促進(jìn)離子在等離子體鞘層中的加速,以加強(qiáng)等離子體的各向異性刻蝕。通常,感應(yīng)線圈可以環(huán)繞在石英管上,也可以由內(nèi)到外地盤繞在石英窗口面上,以產(chǎn)生所需的更大面積高密度的等離子體。

電子回旋共振等離子體刻蝕系統(tǒng)(ECR, Electron Cyclotron Resonance)[6],是應(yīng)超大規(guī)模集成電路(VLSI)技術(shù)的發(fā)展而開發(fā)的新型大面積高密度等離子體技術(shù)。它具有無金屬電極污染、均勻性好、極低氣壓下高離化率、工藝設(shè)備簡(jiǎn)單等優(yōu)點(diǎn),被認(rèn)為在集成電路的刻蝕中擁有美好的應(yīng)用前景。因此,在金剛石膜的刻蝕圖形中,也被研究者們所采用。如圖2所示,在電子回旋共振等離子體刻蝕系統(tǒng)中,油擴(kuò)散泵或分子泵將真空維持在10-5~10-2Pa, 2.45GHz的微波通過矩形波導(dǎo)傳入到反應(yīng)腔中。在磁場(chǎng)87.5mT處,電子發(fā)生回旋諧振,充分吸收微波能量,使氣體充分電離,從而產(chǎn)生低氣壓下高密度等離子體。對(duì)于刻蝕來說,較低氣壓時(shí),離子體自由程更長(zhǎng),更有助于離子的加速。因而,可以在較低氣壓下產(chǎn)生大面積高密度等離子體的ECR系統(tǒng),更容易產(chǎn)生各向同性刻蝕,甚至垂直刻蝕。圖形化金剛石膜中,普遍所采用的工藝流程如圖3所示。

其制備工藝為:

(1) 利用濺射沉積或蒸發(fā)鍍一層金屬掩體,如Al或NiTi;

(2) 利用傳統(tǒng)掩模光刻技術(shù)制作光刻膠掩模圖形;

(3) 采用專門化學(xué)刻蝕液圖形化金屬掩體,并去掉光刻膠;

(4) 采用各向異性等離子體刻蝕圖形化金剛石膜(如O2或Ar+O2);

(5) 去掉金屬掩體。

3 金剛石膜的研究現(xiàn)狀

上世紀(jì)末,國外主要將重點(diǎn)放在實(shí)驗(yàn)室內(nèi)刻蝕方面的基礎(chǔ)研究。利用傳統(tǒng)刻蝕裝置研究不同等離子體下刻蝕參數(shù)對(duì)刻蝕的影響和不同掩體對(duì)刻蝕的影響。普遍關(guān)注的是反應(yīng)參數(shù)對(duì)刻蝕的速率、刻蝕的各向異性以及刻蝕后的表面粗糙度的影響。例如早在1996年,H. Buchkremer-Hermanns等人[7]就以空氣為氣源,采用ECR產(chǎn)生等離子體,研究了微波功率和氣壓對(duì)CVD金剛石膜刻蝕的影響。研究發(fā)現(xiàn):微波功率一定時(shí),刻蝕速率將隨氣壓的增大先變大后變??;氣壓一定時(shí),刻蝕速率將隨微波功率的增大而顯著增加。次年,美國的Gopi M.R. Sirineni等[8]采用射頻放電技術(shù),研究了不同放電條件下的氧等離子體對(duì)CVD多晶金剛石膜刻蝕的影響。研究發(fā)現(xiàn),氧等離子體刻蝕會(huì)導(dǎo)致金剛石膜表面出現(xiàn)大量刻蝕深坑,同時(shí)會(huì)導(dǎo)致表面平均粗糙度降低一半。而且射頻功率一定時(shí),刻蝕速率隨氣壓的升高而增加。氣壓一定時(shí),刻蝕速率隨功率的升高而增加。但他們不能對(duì)刻蝕的影響機(jī)制給出解釋。2001年,澳大利亞的P. W. Leech等人[9]利用離子源技術(shù),研究了不同混合氣體下刻蝕工藝對(duì)刻蝕后金剛石膜表面粗糙度以及刻蝕速率的影響。他們發(fā)現(xiàn),以純O2為氣源時(shí),表面粗糙度隨功率的增加而變大。而添加適量的Ar或CF4可以抑制表面粗糙度增加,甚至使表面粗糙度幾乎不變。并且以O(shè)2和Ar為氣源時(shí),刻蝕速率將隨含氧比例的增加而升高。國內(nèi)在這方面只有上海交通大學(xué)的姚翔[10]等人于2000年以氧氣和氬氣為混合氣源,研究了射頻功率和工作氣壓以及氧氣比例對(duì)熱絲CVD金剛石膜刻蝕速率的影響,并得到類似上述國外的結(jié)論。